搜索资源列表

  1. OFDM

    0下载:
  2. 讲述了完整的OFDM仿真系统,对初学者很有帮助-About a complete OFDM simulation system very helpful for beginners
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:468992
    • 提供者:吴起
  1. LabVIEWfangzhendaodan

    0下载:
  2. LabVIEW控制、设计与仿真模块为用户提供了一系列快捷、有效的工具,使用户可以方便地在统一的平台上进行系统的设计、原型和最终的部署。本演示将以空空导弹的控制仿真系统为例,向各位展示如何用LabVIEW来搭建仿真模型-LabVIEW Control, Design and Simulation Module provides users with a range of quick and effective tool that enab
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-19
    • 文件大小:588800
    • 提供者:天天一天天
  1. zhuanjuzhuangsucilianshiliangkongzhi

    0下载:
  2. 带转矩内环的转速磁链闭环矢量控制仿真系统,大家共同学习-The speed with torque inner loop flux vector control simulation system, we learn together
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:14336
    • 提供者:张莹
  1. MSK

    0下载:
  2. 使用systemview开发的MSK调制解调仿真系统-Use systemview developed simulation system for MSK modulation and demodulation
  3. 所属分类:邮电通讯系统

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:wl
  1. dddd

    0下载:
  2. 通信仿真学习必备,基于MATLAB的数字调制信号仿真系统设计-Learn essential communication simulation, MATLAB-based Simulation System for digital modulation signals
  3. 所属分类:编程文档

    • 发布日期:2024-05-19
    • 文件大小:192512
    • 提供者:nannyp
  1. 115

    0下载:
  2. 采用Creator 和Vega 平台以及Simulink仿真开发工具对空面导弹的飞行视景仿真技术进行了研究。提出了一种空面导弹飞行视景仿真系统的体系构架,利用获取的DED真实地形数据,通过Creator建立大地形三维模型;借助VC/MFC开发平台,实现了Simulink数字仿真系统与视景仿真的通信;在Vega中实现视景仿真系统的软件开发调试。仿真结果表明,该系统能够为空面武器系统研制阶段的参数优化和效能评估提供有效的验证平台。-Crea
  3. 所属分类:编程文档

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:robert
  1. DS

    0下载:
  2. 关于通信系统仿真中的直序扩频序列的仿真系统的仿真问题的解决方法-Communication System Simulation on Direct Sequence Spread Spectrum Sequences simulation system simulation solution to the problem
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:58368
    • 提供者:宿舍
  1. Simulation

    0下载:
  2. 代码包含了几种不同的数字信号系统仿真的实现,包含BPSK,ASK,FSK的数字仿真系统源代码-Code contains several different implementation of digital signal system simulation, including BPSK, ASK, FSK digital simulation system source code
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:43008
    • 提供者:沈春龙
  1. L006461

    0下载:
  2. 机器人仿真系统运动学逆解算 -Inverse Kinematics of Robot Simulation System Operator robot inverse kinematics simulation system operator
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-19
    • 文件大小:221184
    • 提供者:实姓名
  1. zhinengjiaotong

    0下载:
  2. C语言课程设计 智能交通仿真系统 里面包含详细的设计文档和C语言源代码-C language curriculum design billiards simulation system contains detailed design documentation and C language source code
  3. 所属分类:Windows编程

    • 发布日期:2024-05-19
    • 文件大小:321536
    • 提供者:江南
  1. 07950213

    0下载:
  2. 扩频通信系统仿真 ——系统将基带信号(即信息)的频谱扩展至很宽的频带上,然后再进行传输,即将欲传输的信息数据用伪随机序列编码调制,实现频谱扩展,然后通过信道编码发送出去;接收端采用与发送端完全相同的伪随机序列作为本地解扩信号进行相关处理,以恢复信息,最后进行解调,完成整个系统的接收。-Simulation of spread spectrum communication system- the system baseband signa
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:8192
    • 提供者:wangmin
  1. 基于PSIM和Simulink的光伏发电系统协同仿真.

    0下载:
  2. 基于PSIM和Simulink的光伏发电系统协同仿真.
  3. 所属分类:matlab例程

    • 发布日期:2013-03-05
    • 文件大小:1865
    • 提供者:fudeyi
  1. MIMO-OFDM仿真实例

    0下载:
  2. 对MIMO-OFDM系统进行误比特率的仿真分析(Simulation analysis of bit error rate in MIMO-OFDM system)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:em_ll
  1. 电力电子、电机控制系统仿真模型

    0下载:
  2. 电力电子与电机控制仿真,很适合初学者 建立物理概念(simulation of power electronics and motor control)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:630784
    • 提供者:蜗——牛
  1. 终极版数字基带信号传输系统性能仿真

    0下载:
  2. 数字基带信号的系统仿真。包括论文,目录等一切,一个仿真(System simulation of digital baseband signals)
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:226304
    • 提供者:小蓉蓉
  1. MATLAB卡尔曼滤波算法仿真

    0下载:
  2. MATLAB 卡尔曼滤波算法仿真程序 程序说明:对比UKF与EKF在非线性系统中应用的算法性能(MATLAB Calman filtering algorithm simulation program Program descr iption: compares the performance of algorithms for UKF and EKF in nonlinear systems)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:14336
    • 提供者:BGCS
  1. 先进PID控制MATLAB仿真

    0下载:
  2. 《先进PID控制MATLAB仿真》系统地介绍了PID控制的几种设计方法,是作者多年来从事控制系统教学和科研工作的结晶,同时融入了国内外同行近年来所取得的最新成果。书中的每种方法都给出了算法推导、实例分析和相应的MATLAB仿真设计程序。("Advanced PID control MATLAB simulation" systematically introduced the PID control of sever
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:6468608
    • 提供者:wawaxiaohai
  1. 《雷达系统设计matlab仿真》

    0下载:
  2. 雷达系统设计 matlab仿真 代码实用(Radar system design, matlab simulation code, practical)
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-19
    • 文件大小:17221632
    • 提供者:小西柚
  1. 基于FPGA的串口通信系统

    0下载:
  2. 该设计是基于 FPGA 的串口通信系统模拟仿真,通过对 RS-232 串行总线 接口的设计,掌握发送与接收电路的基本思路,并进行串口通信。采用 Verilog HDL 语言对 UART 波特率产生模块、数据发送模块、接收模块进行硬件描述, 再将其整合为一个 RS-232 收发模块,最终在顶层模块中将两个 RS-232 模块例 化,实现两块 FPGA 芯片全双工通信的设计。(Design of serial communication s
  3. 所属分类:文档资料

    • 发布日期:2024-05-19
    • 文件大小:578560
    • 提供者:小可大本
  1. 通信系统仿真_1~18章

    0下载:
  2. 通信系统仿真(一本重要的书) 通信系统仿真(一本重要的书)(Simulation of communication systems (an important book) Simulation of communication systems (an important book))
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:353280
    • 提供者:天天死人
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

源码中国 www.ymcn.org