搜索资源列表

  1. sub_full_n

    0下载:
  2. 该程序实现的N位全减器,首先实现一位的减法器,之后实现N位全减器。-Program of the N-bit-wide reduction, the first realization of a subtraction for, after all N-reduction devices.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:26624
    • 提供者:许嘉璐
  1. sub20

    0下载:
  2. 一个减法器的程序,经过调试的,还是非常好用的.-A subtraction device procedure, after debugging, still very useful.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:xipengfei
  1. add_sub_lab2

    0下载:
  2. 实验课的作业,包括半加器、全加器、加/减法器,使用逻辑图和VHDl描述,包括分析和报告。-experiment include the operation of a half adder, full adder, plus/subtraction device, and the use of logic diagram VHDl descr iption, including analysis and reporting.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:60416
    • 提供者:徐轶尊
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Develo
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:3151872
    • 提供者:Jawen
  1. verlog_basic

    0下载:
  2. 用verlog语言编的一些基础实验,适合于FPGA/CPLD的初学者。内容包括8位优先编码器,乘法器,除法器,多路选择器,二进制转BCD码,加法器,减法器等等。-verlog used some language addendum to the basic experiment, which is suitable for FPGA/CPLD beginners. Including eight priority encoder, mu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1004544
    • 提供者:leolili
  1. vhdlsource

    0下载:
  2. 用verilog hdl编写的一些例程,包括加法器/减法器等等,例子较多就不一一列举了-Verilog hdl prepared with some routines, including the adder/subtraction, etc., for example, more is not to enumerate the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:4096
    • 提供者:刘念洲
  1. seg47

    0下载:
  2. 一个数码管显示的测试程序,内含加法器、减法器,4-7译码器,计数器等。-A digital display of test procedures, including an adder, subtraction device ,4-7 decoder, counters and so on.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:325632
    • 提供者:xiaoshuai
  1. 8_jjfq

    0下载:
  2. 用VHADL和Verilog HDL实现带进位的8位加减法器。-Using Verilog HDL and realize VHADL into 8-bit instruments used in addition and subtraction.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:赵文武
  1. VHDLjianfaqi

    0下载:
  2. 这是一个利用MAX PULL 制作的VHDL的减法器的程序 如果有需要仿真图的 请叫站长联系我-This is a MAX PULL produced using VHDL s process of subtraction, if necessary simulation diagram contact me please call station
  3. 所属分类:汇编语言

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:郭明磊
  1. jianfaqi

    0下载:
  2. 用硬件描述语言编程实现减法器,实现两个操作数的减法-Using hardware descr iption language programming subtraction, and the achievement of the two operands of the subtraction
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:24576
    • 提供者:hulijing
  1. OPERATION_UNIT

    0下载:
  2. 本程序为加密芯片内部加密运算单元部分,包括32位减法器、移位寄存器、加/减法器、寄存器等,对密码芯片运算部分设计具有一定指导意义-The procedure for encryption chip unit internal encryption algorithms, including 32-bit subtraction, and shift register, add/subtraction, and register and
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:zhaohongliang
  1. Mars_EP1C6F_Fundermental_demo(Verilog)

    0下载:
  2. FPGA开发板配套Verilog HDL代码。芯片为Mars EP1C6F。是基础实验的源码。包括加法器、减法器、乘法器、多路选择器等。-FPGA development board supporting Verilog HDL code. Chips for the Mars EP1C6F. Are the basic source experiment. Including the adder, subtraction, and m
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1244160
    • 提供者:chenlu
  1. as

    0下载:
  2. 自己编写的的,基于verilog的加减法器!!!比较简单-Their written, based on instruments used in verilog addition and subtraction! ! ! Is relatively simple! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:519168
    • 提供者:林海
  1. jiajianfaqi

    0下载:
  2. 利用VHDL语言设计的两位加减法器,设计采用BLOCK并行设计可以同时进行加法与减法运算-VHDL language design using addition and subtraction of two instruments used, designed using BLOCK parallel design can be done concurrently addition and subtraction
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:庞潮
  1. VHDL

    0下载:
  2. 本代码为用VHDL语言设计实现加法器、减法器、乘法器,并提供了模块图,进行了波形仿真。-This code is for the use of VHDL Language Design and Implementation of adder, subtracter, multiplier, and provides a block diagram carried out a wave simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:15360
    • 提供者:张霄
  1. jian

    0下载:
  2. 一个简单的减法器,适合初学者,高手就不用看了-A simple subtraction, for beginners, masters do not need to read
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:197632
    • 提供者:赵吉波
  1. jiafqi

    0下载:
  2. vhdl减法器 用vhdl语言实现减法功能-Used subtraction vhdl vhdl language subtraction function
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:9216
    • 提供者:xu
  1. ADD_SUB_32bit

    0下载:
  2. 加减法器,可实现有无符号数的加减法-Modified instruments used, can be realized whether the number of addition and subtraction symbols
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:aguang
  1. FPGAVerilogHDLcode.RAR

    0下载:
  2. 一些例程供参考,包括加法器,减法器,多路选择器-failed to translate
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:1276928
    • 提供者:zhangbin
  1. Verilog

    0下载:
  2. 32位存储器Verilog附带test文件,可以在modulesim仿真 还有加法、减法器,译码器等常用Verilog器件,都附带仿真test。-Memory test with Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:3072
    • 提供者:嘿若
« 12 3 4 5 6 »

源码中国 www.ymcn.org