搜索资源列表

  1. UART_receiver

    0下载:
  2. 通用串口收发器的移位寄存器 是verilog hDl编写-uart_reg
  3. 所属分类:串口编程

    • 发布日期:2024-05-15
    • 文件大小:3072
    • 提供者:杨立海
  1. 74HC595

    0下载:
  2. 74HC595具有一个8位串行输入并行输出的移位寄存器和一个8位输出-74HC595 with an 8-bit serial input parallel output shift register and an 8-bit output
  3. 所属分类:汇编语言

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:新方法
  1. VHDLprogram

    0下载:
  2. 含有各类寄存器,AD和DA转换器,各种算法,有限状态机,还些许组合逻辑电路设计代码-Containing various types of registers, AD and DA converters, a variety of algorithms, finite state machine, but also some combinational logic circuit design code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1808384
    • 提供者:zhouwenbin
  1. shift_register

    0下载:
  2. 用Verilog实现的移位寄存器,可以实现左移、右移等功能-Using Verilog implementation of the shift register, you can achieve the left, shifted to right and other functions
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:3072
    • 提供者:huhahuha
  1. shift

    0下载:
  2. 该移位寄存器的功能是寄存输入数据,并在控制信号作用下将输入数据移位输出。-The function of the shift register are input data storage, and under the control signal at the input of data output shift.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:39936
    • 提供者:伍家香
  1. x95288x

    0下载:
  2. VHDL的寄存器读写参考,可自己根据要求重新修改,本示范只做参考用-Register read and write VHDL reference to their request to amend in accordance with, the reference model only
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:treefan.liang
  1. T3_1

    0下载:
  2. 一个4比特移位寄存器,活跃在不断上升的边缘的时钟。登记应能转移左、右移,接受连续剧和平行(负荷)输入,而有一个异步预设(“1111”)和清晰的(“0000”)的能力。-a 4-bit shift register which is active on the rising edge of the clock. The register should be able to shift left, shift right, accept
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:26624
    • 提供者:sunzhongyuan
  1. par_serial-and-serial_par-VHDL

    0下载:
  2. 并入串出移位寄存器和8路并行输出串行移位寄存器的VHDL代码,经Quartus II 5.1验证可用-String into a shift register and 8-way parallel output serial shift register of the VHDL code, the Quartus II 5.1 can be used to verify
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:随风
  1. 6

    0下载:
  2. 研制一台性能如下的实验计算机。 (1) 具有键盘和打印机两种外部设备。 (2) 外设和内存统一操作指令,程序查询法使用外设。 (3) 运算器采用单累加器多通用寄存器结构。 (4) 操作数寻址方式有: 直接地址寻址 立即数寻址 寄存器直接寻址 寄存器间接寻址 (5) 指令系统至少含有以下指令: -Development of the performance of a computer expe
  3. 所属分类:打印编程

    • 发布日期:2024-05-15
    • 文件大小:67584
    • 提供者:穆鹏
  1. vhdl

    0下载:
  2. 74ls164 8位移位寄存器 串入并出-74ls164 8-bit shift register and a string into
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:fankexing
  1. VHDL100

    0下载:
  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数-VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:307200
    • 提供者:lsp
  1. DDS

    0下载:
  2. 自己在Quartus下用VHDL编写的一个DDS程序。包括寄存器,累加器,波形存储器-In Quartus using VHDL procedures for the preparation of a DDS. Including the register, accumulator, waveform memory
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:351232
    • 提供者:ice
  1. 74ls164

    0下载:
  2. 74HC595是具有8位移位寄存器和一个存储器,三态输出功能。-74HC595 is an 8-bit shift register and a memory, three-state output.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:100352
    • 提供者:zhangqiang
  1. 74hc164

    0下载:
  2. 74HCT164 是 8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。数据通过两个输入端(DSA 或 DSB)之一串行输入;任一输入端可以用作高电平使能端,控制另一输入端的数据输入。两个输入端或者连接在一起,或者把不用的输入端接高电平,一定不要悬空。-74HCT164 is an 8-bit edge triggered shift register, serial input data, and then output in
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:21504
    • 提供者:裘怿况
  1. linuxdrivers_and_test

    0下载:
  2. drivers_and_test目录 里面主要是测试程序,比如LED驱动、按键驱动、LCD驱动的测试程序。 其中的regeditor子目录对应的是一个调试工具(可以利用物理地址读写寄存器),它会用 到内核中的一个驱动程序drivers/char/ker_rw.c。-linux command
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:69632
    • 提供者:marenpeng
  1. shifter

    0下载:
  2. vhdl,双向移位寄存器,实现置数,左移及右移操作-vhdl, bi-directional shift register to achieve set the number of left and right shift operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:32768
    • 提供者:王晓虎
  1. shifter

    0下载:
  2. verilog实现的“并行输入、并行输出移位寄存器”-verilog to achieve a " parallel input, parallel output shift register"
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:王先生
  1. left_shift_register

    0下载:
  2. 用EDA实现的一个带有同步并行预置功能的8位左移移位寄存器-With the EDA to achieve a preset function in parallel with synchronous 8-bit left shift register
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:147456
    • 提供者:哈哈
  1. ram

    0下载:
  2. 代码实现了一个由32位寄存器组成的寄存器组,并有多个控制输入和两个输出,方便使用。-The code implements a 32-bit register consisting of registers, and there are multiple control inputs and two outputs, easy to use.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:10240
    • 提供者:Pang scotto
  1. AnyHook

    0下载:
  2. 进程注入asm实现任意api Hook。可中断修改参数和寄存器。总是传错,无奈啊-Asm into the process of achieving any api Hook. Interruptible modify the parameters and registers.
  3. 所属分类:钩子与API截获

    • 发布日期:2024-05-15
    • 文件大小:53248
    • 提供者:HeavenSea
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

源码中国 www.ymcn.org