搜索资源列表

  1. 网络校时

    1下载:
  2.  网络校时程序
    因本人计算机cmos电池缺点,系统时间每次开机都丢失,所以我就想通过网络来及时调整自己的时间。
    起初我从网络上搜索到很多类似的软件,但他们都附带其他我并不想用的功能。所以我决定自己去做一个只校时的软件。
    首先,需要寻找一个稳定快捷的网络时间服务器,这是至关重要的,否则这个程序将失去他的价值。搜索结果很多,不过好多都延时厉害,有些还可能造成程序陷入假死状态。因而我从

  3. 所属分类:网络编程

    • 发布日期:2008-05-24
    • 文件大小:54605
    • 提供者:qinchina
  1. microsecond_delay产生毫秒级延时

    0下载:
  2. 产生毫秒级延时的程序-have millisecond delay the proceedings
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:31929
    • 提供者:张增辉
  1. 主频延时

    0下载:
  2. 一个通过计算机主频来精确延时的程序,精确到ms,一般的延时只能精确到10ms-a computer speeds accurate to delay the procedure to the exact ms, the average delay of only 10ms precision
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:183270
    • 提供者:陈铁良
  1. CPU 测速(MHz)和高精度延时(微秒级)

    0下载:
  2. 一.高精度延时, 是 CPU 测速的基础 Windows 内部有一个精度非常高的定时器, 精度在微秒级, 但不同的系统这个定时器的频率不同, 这个频率与硬件和操作系统都可能有关。 利用 API 函数 QueryPerformanceFrequency 可以得到这个定时器的频率。 利用 API 函数 QueryPerformanceCounter 可以得到定时器的当前值。 根据要延时的时间和定时器的频率, 可以算出要
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:1213
    • 提供者:马俊
  1. VB延时

    1下载:
  2. VB延时子程序,可精确延时一定时间,给其它程序调用,VB6开发.-VB delay subroutine, precision delay some time, to call other procedures, the development of VB6.
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:2207
    • 提供者:陈亮
  1. 单片机延时

    0下载:
  2. 单片机开发系统延时间程序,C语言开发,采用中断实现,程序简单易用,可以自由更改, 延时1秒到99999秒均可以实现。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:961
    • 提供者:林海
  1. 能延时退出的阻塞式socket函数的设计

    0下载:
  2. 摘 要:介绍了Win32平台(Windows95/98/NT)下socket的阻塞和非阻塞两种工作模式。结合两个具体程序模型,讨论了在网络环境下发送/接收数据使用阻塞式socket的必要性和不足之处,并提出用能延时退出的阻塞式socket读写函数的解决方案。-Abstract : the Win32 platform (describes) socket obstruction and two non-blocking mode. Co
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:17607
    • 提供者:王静
  1. 按键程序

    0下载:
  2. 键盘扫描方法是:行线P10~P13为输出线,列线P14~P17为输入线。一开始单片机将行线(P10~P13)全部输出低电平,此时读入列线数据,若列线全为高电平则没有键按下,当列线有出现低电平时调用延时程序以此来去除按键抖动。延时完成后再判断是否有低电平,如果此时读入列线数据还是有低电平,则说明确实有键按下。最后一步确定键值。现在我们以第二行的S5键为例,若按下S5后我们应该怎么得到这个键值呢?当判断确实有键按下之后,行线轮流输出低电平,
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2009-03-24
    • 文件大小:48640
    • 提供者:y30417430
  1. microsecond_delay产生毫秒级延时

    0下载:
  2. 产生毫秒级延时的程序-have millisecond delay the proceedings
  3. 所属分类:Windows编程

    • 发布日期:2024-05-19
    • 文件大小:31744
    • 提供者:张增辉
  1. i2c总线程序

    0下载:
  2. 本程序是i2c总线读写一个字节的程序。在写过程序中,不一定非要检测i2c的应答位,可以直接延时过去就行,但是在读过程中必须给应答信号,读完发停止位,一定确认所发的信号都发上了。否则在连续地址读的过程中可能不会出错,但是在随机度过程中就会出错,我的程序就是在给停止信号时没有给上,24cxx一直在输出数据,结果读出错。找着问题后我的程序只加入了一条命令便完全正常。-i2c bus read and write a byte procedu
  3. 所属分类:串口编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:江南
  1. 单片机课程设计1

    0下载:
  2. 编写一个延时1MS子程序根据题目选择是定时还是记数状态,本题选择记数状态。然后根据延时1MS计算出计时器的初值是E0H(高8位)18H(低5位)。最后编写算法,对T0初始化,利用T0的中断TF0调用中断处理程序。-prepared a delay rungs subroutine under the topic chosen is not a time to memorize numbers or state of mind of th
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:李明
  1. 温度检测部分单片机程序

    0下载:
  2. SRART: MOVX @R0,A 令ADC0809开始转换 WAIT: JB OP2.0,ADC 检测ADC0809转换完成否? CALL DISP 调用显示子程序 JMP WAIT ADC:MOVX A,@R0 将转换好的数据送入累加器 CALL L1 调用十进制转换子程序 MOV RI,#OFFH 显示延时-SRART : R0 MOVX @ A change began to make ADC0809 WAIT : JB OP2
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:刘浪
  1. 18B20源程序加上位机温度显示应用程序包(1)

    0下载:
  2. 用c写的18b20驱动程序 功能:实现对DS18B20的读取 原理:单总线协议 注意:单总线协议对延时要求比较严格,此程序中采用的是11.0592M的晶振,如果使用其他的晶振请跟据DS18B20的资料修改延时参数-used to write the 18b20 driver function : to achieve DS18B20 read Principle : single-bus protocol attention : s
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:6144
    • 提供者:燕辉
  1. 计算系统的群延时

    0下载:
  2. 这个程序可以计算线性系统的计算的群延时.-this procedure can be calculated linear system of calculating the group delay.
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:byk518
  1. 主频延时

    0下载:
  2. 一个通过计算机主频来精确延时的程序,精确到ms,一般的延时只能精确到10ms-a computer speeds accurate to delay the procedure to the exact ms, the average delay of only 10ms precision
  3. 所属分类:系统编程

    • 发布日期:2024-05-19
    • 文件大小:183296
    • 提供者:陈铁良
  1. primetime

    0下载:
  2. 这是VHDL语言编写的延时测试程序,用来测定CPLD的性能指标-This is the VHDL language delay the test procedure used to determine the performance CPLD
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:52224
    • 提供者:张国梁
  1. tuxingxianshi

    0下载:
  2. MFC下动态的显示图形的画法,主要用了 Bresenham算法来画出三角型和圆,程序中还用了Sleep()函数来实现延时。-MFC dynamic display pictures of paint, the main Bresenham algorithm to paint a triangular and round, procedures also spent Sleep () function to achieve late
  3. 所属分类:界面编程

    • 发布日期:2024-05-19
    • 文件大小:40960
    • 提供者:孙韩
  1. mulpathpowerspectrum

    0下载:
  2. 这是一个移动通信多径信道的功率谱响应(包括相位,延时响应等)的matlab程序。-This is a mobile communications multipath channel response to the power spectrum (including phase, Delayed response, etc.) Matlab procedures.
  3. 所属分类:邮电通讯系统

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:zm
  1. DELAY1

    0下载:
  2. 本程序以ISE为开发平台,采用VHDL为开发语言,实现了对一个时钟信号延时的功能-the procedures to ISE for the development platform for the development of VHDL language, Implementation of a clock signal delay function
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1325056
    • 提供者:刘小军
  1. mcucontrolkeyboard

    0下载:
  2. 进行键盘扫描及键处理时,在程序中直接调用函数KeyProcess()即可。键处理函数原 型声明在头文件Key.h中,键处理函数可放在程序的任何地方,一个按键对应一个处理函 数。 该键盘扫描程序去抖动为什么不需软件延时?因为从无按键到键被按下,要进行两次 扫描,此间相当于延时去抖动。 该键盘扫描程序还有一特色:用二维数组进行按键功能处理,使程序更简单。 /*$$$$$$$$$$$$$$$$$$$$$$$$$$$
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:7168
    • 提供者:姚晓光
« 1 2 34 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org