搜索资源列表

  1. 03 数字逻辑电路 1.3

    0下载:
  2. 清华计算机组成原理电子教案 : 数字逻辑电路 -Tsinghua Computer electronic templates Principle : digital logic circuits
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:252692
    • 提供者:高名
  1. 数字逻辑

    0下载:
  2. 篮球30秒计时器-数字逻辑 实现30秒倒计时,暂停,继续计数,声光报警信号!-basketball 30s countering-digital logic be able to counter down for 30s, pause, continue to counter, give an voice and light alarm
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:25526
    • 提供者:永恒
  1. [VHDL硬件描述语言与和数字逻辑电路设计].侯伯亭&顾新.扫描版

    0下载:
  2. VHDL硬件描述语言与和数字逻辑电路设计.pdf 侯伯亭&顾新.扫描版
  3. 所属分类:其他书籍

  1. 数字逻辑设计与VHDL语言

    0下载:
  2. 数字逻辑设计与VHDL语言
  3. 所属分类:文档资料

  1. 03 数字逻辑电路 1.3

    0下载:
  2. 清华计算机组成原理电子教案 : 数字逻辑电路 -Tsinghua Computer electronic templates Principle : digital logic circuits
  3. 所属分类:电子书籍

    • 发布日期:2024-05-16
    • 文件大小:252928
    • 提供者:高名
  1. 数字逻辑

    0下载:
  2. 篮球30秒计时器-数字逻辑 实现30秒倒计时,暂停,继续计数,声光报警信号!-basketball 30s countering-digital logic be able to counter down for 30s, pause, continue to counter, give an voice and light alarm
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:25600
    • 提供者:永恒
  1. traficlight

    0下载:
  2. 这是EWB做的关于数字逻辑的课程设计 其中红灯的时间可以自行修改 还设了人行道的红绿灯-This is done on digital logic design courses which time the red light can also set up their own amendments to the People's Bank Road traffic lights
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:177152
    • 提供者:胡海洪
  1. DigitalLogicCircuits

    0下载:
  2. 此文件为数字逻辑电路课件,内容丰富,课件重点鲜明,PPT格式。-this paper to digital logic circuits courseware, rich in content, courseware focused and PPT formats.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:2800640
    • 提供者:李敏丹
  1. ADLIGHTS

    0下载:
  2. 功能见文档:综合地运用所学的 《数字逻辑》的基本知识,使用电脑EWB仿真技术,独立完整地设计一定功能的电子电路。-function see Document : Comprehensive land use study of the "digital logic" of basic knowledge, EWB use of computer simulation technology, independence a
  3. 所属分类:软件工程

    • 发布日期:2024-05-16
    • 文件大小:103424
    • 提供者:龙龙
  1. SystemOfTaxiFeeBasedOnVerilogHDL

    0下载:
  2. 摘 要:以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。 关键词:Verilog HDL;电子自动化设
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:211968
    • 提供者:杨轶帆
  1. dianzizhong

    0下载:
  2. 数字逻辑系统和数字设计的课程设计-Digital logic systems and digital design of the curriculum design
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:
  1. solution1324

    0下载:
  2. SX-CPLD/FPGA 数字逻辑电路设计实验仪 SX-CPLD/FPGA 数字逻辑电路设计实验仪 产品介绍 1.利用CPLD/FPGA 提供的软硬件开发环境学习最新逻辑IC 设计,以取代TTL/CMOS 复杂的硬件设计。 2.可使用电路绘图法、ABEL 语言、波形图和数字硬件描述语言法(VHDL/AHDL)来开发电路。 3.CPLD/ FPGA 提供引脚可任意设定,故作测试
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:171008
    • 提供者:vobno
  1. VHDLandDigitalCircuitDesign

    0下载:
  2. 本书系统地介绍了一种硬件描述语言,即VHDL语言设计数字逻辑电路和数字系统的新方法。这是电子电路设计方法上一次革命性的变化,也是迈向21世纪的电子工程师所必须掌握的专门知识。本书共分12章,第l章---第8章主要介绍VHDL语言的基本知识和使用VHDL语言设计简单逻辑电路的基本方法;第9章和第10章分别以定时器和接口电路设计为例,详述了用VHDL语言设计复杂电路的步骤和过程;第11章简单介绍了VHDL语言93版和87版的主要区别;第12
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:18693120
    • 提供者:qinlei
  1. bianmadeaomi

    0下载:
  2. 《编码的奥秘》作者 Charles Petzolel 译者 伍卫国 王室政 等译 本书用大量的篇幅讲述了与计算机原理相关的条种编码方法,并通过数字逻辑电路(包括逻辑与开关,逻辑门电路与触发器,二进制加法器等)以及存储器、微处理器的形式、组织及发展阐述了编码的实现。此外,本书还涉及到计算机系统、操作系统、编程语言等的产生及发展,甚至对计算机图形化的相关技术也给了一个全面的描述。
  3. 所属分类:操作系统开发

    • 发布日期:2024-05-16
    • 文件大小:6607872
    • 提供者:xf
  1. VHDL_book123

    0下载:
  2. 本书详细介绍了VHDL语言设计数字逻辑电路和数字系统的过程和方法,并对设计中各种相关技术做了详细的介绍,出此之外,本书提供了丰富的 实例,条理清晰,通俗易懂。-The book detailed the VHDL language design digital logic circuits and digital systems of the process and methods, and design a variety of
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:18693120
    • 提供者:果冻
  1. bb

    0下载:
  2. ewb仿真 数字逻辑课程设计 使用电脑EWB仿真技术,独立完整地设计一定功能的电子电路 -ewb digital logic simulation curriculum design EWB use of computer simulation technology, complete design of a certain independent functions of electronic circuits
  3. 所属分类:教育/学校应用

    • 发布日期:2024-05-16
    • 文件大小:1752064
    • 提供者:ma
  1. vhdl4

    0下载:
  2. VHDL硬件描述语言与数字逻辑电路设计,学习VHDL的好资料-VHDL hardware descr iption language and digital logic circuit design, VHDL learning good information
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:18693120
    • 提供者:邵广建
  1. sd

    0下载:
  2. 自己做的数字逻辑电路课程设计,课题:八位二进制并行加法器的实现,包含代码和流程图以及基本说明-Themselves to do the digital logic circuit design, topics: 8 parallel binary adder realize that contains code and flow chart as well as basic instructions
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:18432
    • 提供者:小梦
  1. jiaotongdeng

    0下载:
  2. 数字逻辑交通信号灯课程设计代码请指正-Digital logic traffic signal curriculum design codes, please correct me
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:江鹏远
  1. shuziluoji

    0下载:
  2. 数字逻辑的课件 ,讲解很详细。并附74F148 8-Line to 3-Line Priority Encoder的图解-Digital logic courseware, explaining in great detail. With 74F148 8-Line to 3-Line Priority Encoder diagram
  3. 所属分类:Windows编程

    • 发布日期:2024-05-16
    • 文件大小:2272256
    • 提供者:有天
« 12 3 4 5 6 7 8 9 10 ... 30 »

源码中国 www.ymcn.org