搜索资源列表

  1. 顺序查找表 第九章

    0下载:
  2. vc顺序查找表 第九章 -vc order lookup table order in the ninth chapter lookup table Chapter IX
  3. 所属分类:组合框控件

    • 发布日期:2008-10-13
    • 文件大小:1028
    • 提供者:童文焕
  1. 动态查找表的设计与实现

    0下载:
  2. 动态查找表的设计与实现
  3. 所属分类:其他小程序

  1. 顺序查找表 第九章

    0下载:
  2. vc顺序查找表 第九章 -vc order lookup table order in the ninth chapter lookup table Chapter IX
  3. 所属分类:组合框控件

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:童文焕
  1. 用VHDL实现查找表方式的FIR滤波器

    0下载:
  2. 这些是我所看到的一些资料,希望与大家分享。也许对您用处不大,但我是一片诚意-these are what I saw in some of the information and hope to share with you all. Perhaps your little usefulness, but I was a sincerity
  3. 所属分类:文件格式

    • 发布日期:2024-05-14
    • 文件大小:144384
    • 提供者:yin
  1. DOC的快速变换

    0下载:
  2. 考虑到DCT变换中的系数要重复计算,可使用查找表来提高运行的效率,只要开始DCT变换之前计算一次,DCT变换中就可以只查找而无需计算系数。-to the DCT coefficients to be calculated, the use of look-up tables to improve operational efficiency, as long as the DCT started once before, on DCT
  3. 所属分类:其他小程序

    • 发布日期:2024-05-14
    • 文件大小:4096
    • 提供者:佘某
  1. 平衡二叉树操作的演示

    0下载:
  2. 平衡二叉树实现一个动态查找表,有三种基本功能:查找,插入删除,还有选项功能:合并两棵平衡二叉树,和分裂两棵平衡二叉树.-balanced binary tree to achieve a dynamic lookup table, there are three basic functions : to find, delete, insert, functional options : merging two balanced bin
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-14
    • 文件大小:3072
    • 提供者:罗明会
  1. gold

    0下载:
  2. SRL16是Virtex器件中的一个移位寄存器查找表。它有4个输入用来选择输出序列的长度。使用XCV50-6器件实现,共占用5个Slice。用来生成gold码。-SRL16 Virtex devices is a shift register lookup table. It has four input used to select the output sequence length. Use XCV50-6 device, occ
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:zy
  1. chazhaobiao

    0下载:
  2. 我以前发的一个图像处理程序的升级版,增加了查找表功能,对大家能有帮助-past, I made the one image-processing program for the upgrade and increase the look-up table functions, we can to help
  3. 所属分类:GDI/图象编程

    • 发布日期:2024-05-14
    • 文件大小:288768
    • 提供者:远方
  1. findsjjg

    0下载:
  2. 实验目的:掌握静态查找表的基本操作,并实现一个简单的信息管理问题。 实验要求:1、上机运行程序。 2、分析代码。 3、重写代码。 -experiment : static lookup table grasp the basic operation and the realization of a simple message management issues. Experimental requirements
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-14
    • 文件大小:11264
    • 提供者:张玉猛
  1. lookup_multi

    0下载:
  2. //4×4 查找表乘法器 module mult4x4(out,a,b,clk) output[7:0] out input[3:0] a,b input clk reg[7:0] out reg[1:0] firsta,firstb reg[1:0] seconda,secondb wire[3:0] outa,outb,outc,outd always @(posedge clk
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:stevephen
  1. DDS_Power

    0下载:
  2. FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:16384
    • 提供者:田世坤
  1. VHDL_FIR11

    0下载:
  2. 用VHDL实现查找表方式的FIR滤波器-using VHDL search forms for the FIR filter
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-14
    • 文件大小:11264
    • 提供者:梁立林
  1. ADC0809

    0下载:
  2. VerlogHDL 代码,对AD0809读取的数据显示译码,采取查找表的方式
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:刘红亮
  1. LookUpTable

    0下载:
  2. 查找表发数字图像处理示例程序。可以对图像灰度线性变换,显示直方图。-Lookup table-fat sample digital image processing procedures. Grayscale images can be linear transformation, histogram display.
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-14
    • 文件大小:114688
    • 提供者:无忧居士
  1. poly

    0下载:
  2. 记忆多项式查找表的实现,用于功率放大器的建模-Memory polynomial realization of look-up table for power amplifier modeling
  3. 所属分类:系统编程

    • 发布日期:2024-05-14
    • 文件大小:7168
    • 提供者:任逍遥
  1. binsearch

    0下载:
  2. 数据结构查找算法中的二分查找算法,设置一个长度为100的查找表,有效值范围(0-1)例如设置一个值0.34运行程序就会得到他在表中位置。-Data structure search algorithm in the binary search algorithm, set up a length 100 lookup table, valid value range (0-1) to set up a value of 0.34 for
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-14
    • 文件大小:178176
    • 提供者:jxl
  1. LUT

    0下载:
  2. 一个查找表的程序,使用了matlab和C语言混合编程实现,提高查找效率-A look-up table of procedures, the use of matlab and C mixed-language programming, improve search efficiency
  3. 所属分类:matlab例程

    • 发布日期:2024-05-14
    • 文件大小:4096
    • 提供者:ZhangGeng
  1. address_sin

    0下载:
  2. 正弦值查找表是用matlab代码写的,根据量化地址来查找实际地址的正弦值-Sine value lookup table is written in matlab code, according to quantify the address to find the actual address of the sine value
  3. 所属分类:matlab例程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:文书
  1. YIJIANGSHIXIAN

    0下载:
  2. 在查找表中进行比较输出的硬件实现程序部分程序。-Search at the table to compare the output of the hardware implementation procedures for some of the procedures.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-14
    • 文件大小:2048
    • 提供者:王恒
  1. case4

    0下载:
  2. DA算法中的使用的查找表模块,本程序先设计查找表,然后设计4*4DA算法模块,之后进行位扩展和字扩展得到32阶滤波器程序.附带4各表,和FIR滤波器序数-DA algorithm used in the lookup table module, the design of the program first look-up table, and then design 4* 4DA algorithm module, after the
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:10240
    • 提供者:黄萌
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org