搜索资源列表

  1. 41[1]

    0下载:
  2. 通过SA4828产生SPWM波形实现变频器的加减速-SA4828 SPWM waveform generated by the inverter to achieve acceleration and deceleration
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:王兰
  1. AVR

    0下载:
  2. AVR单片机,用定时器1产生PWM波形,包含具体的程序说明-There are two disk files A and B, the storage line of letters, requested the information in these two documents combined (in alphabetical order), output to a new file C,.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:周晓芹
  1. maichongbbbb

    0下载:
  2. 学习利用集成逻辑门、555定时器设计脉冲信号产生电路;掌握影响脉冲波形参数的定时元件参数的计算方法;学习脉冲波形整形和分频方法 -Learning to use the integrated logic gate, 555 timer pulse signal generation circuit design control parameters affect the timing pulse device parameters
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:169984
    • 提供者:徐彬
  1. SINEWAVE

    0下载:
  2. 利用定时器产生一个2kHz 的正弦信号。定时器被设置成每25uS 产生一次中断(等效于采样速率为40K)。利用该中断,在中断服务程序中用叠代算法计算出一个SIN值,并利用CCS 的图形显示功能查看波形。开发环境为ccs2.2,硬件为DES5410APP-U开发板。-Use timer to produce a 2kHz sinusoidal signal. Timer is set to generate an interrupt ea
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:7168
    • 提供者:黄天
  1. 51boxing

    0下载:
  2. 使用89S51单片机开发系统,和D/A转换器产生各种波形,并且在示波器上可以显示,频率可调。-Using 89S51 microcontroller development system, and D/A converter produces a variety of waveforms, and can be displayed in the oscilloscope, frequency adjustable.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-21
    • 文件大小:122880
    • 提供者:尹征程
  1. Lab0301-LED

    0下载:
  2. 5509GPIO控制LED,利用5509内部定时器产生周期性波形-5509GPIO control LED
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:61440
    • 提供者:Allen
  1. 55timer

    0下载:
  2. 555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。-555 timer is a kind of analog circuits and digital circuits combined with the medium-scale
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-21
    • 文件大小:130048
    • 提供者:高天宇
  1. DGJZ200502034

    0下载:
  2. 详细地讨论了空间矢量脉宽调制的基本理论, 介绍了利用的电机控制芯片实现的方法, 给出了实验结果波形。该控制方法速度快、精度高, 在电压型逆变器中能产生更少的谐波并减少开关损耗。-Discussed in detail the space vector pulse width modulation of the basic theory, introduced the use of the motor control chip metho
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:276480
    • 提供者:xiliao
  1. NCDividerAndItsApplicationVHDLSourceeCode

    0下载:
  2. 用VHDL编写的数控分频器及其仿真结果图片。该程序能实现PWM波形输出以及产生正负脉冲宽度可调的方波输出。-Prepared by the NC VHDL Simulation results divider and pictures. The program can achieve positive and negative PWM waveform output and pulse width adjustable square w
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:59392
    • 提供者:闫方义
  1. SPWM

    0下载:
  2. EVA下面的通用定时器T1工作于连续增/减计数模式,产生三角载波,载波频率为3000Hz,载波比N=60,因此调制波形正弦波的频率为50Hz。-EVA below T1 work general timer continuous increase/decrease counting mode, triangle, carrier frequency modulated carrier for 3000Hz, N = 60, theref
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:东海
  1. adder1

    0下载:
  2. 此源代码是基于Verilog语言的“与-或-非”门电路 、用 case语句描述的 4 选 1 数据选择器、同步置数、同步清零的计数器 、用 always 过程语句描述的简单算术逻辑单元、用 begin-end 串行块产生信号波形 ,有广泛的应用,比如编码器领域。-This source code is based on the Verilog language, " and- or- not" gate, with t
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:王柔毅
  1. SPWM

    0下载:
  2. 产生三相SPWM波形 EVA下面的通用定时器T1工作于连续增/减计数模式,产生三角载波,载波频率为3000Hz,载波比N=60,因此调制波形正弦波的频率为50Hz。本实验中,调制度为0.8-EVA Three-phase SPWM waveform generated the following general-purpose timers T1 operates in continuous up/down counting mod
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:330752
    • 提供者:jack
  1. SPWM

    0下载:
  2. 利用DSP的事件管理器产生三相SPWM波形。-Three-phase SPWM waveform generation using DSP.
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:646144
    • 提供者:唐伟
  1. main

    0下载:
  2. 用DSP2812通用定时器产生spwm波形!程序简单易懂!-DSP2812 Universal timer with wave generated spwm! Procedures easy to understand!
  3. 所属分类:软件工程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:karsen
  1. 3spwm

    0下载:
  2. 基于DSP2821的三相SPWM波形信号的产生,对开发逆变器的朋友应该有帮助。-THE SYNTHESIS RESEARCH OF SPWM WAVEFORM BASED ON DSP KEY WORDS variable frequency power DSP SPWM IGBT
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:xuwen
  1. T1PWM

    0下载:
  2. 使用事件管理器,通过定时器T1产生1KHz,占空比为40 的不对称PWM波形。-Use the Event Manager, generated by the timer T1 1KHz, 40 duty cycle for the asymmetric PWM waveform.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-21
    • 文件大小:248832
    • 提供者:杨辰星
  1. 59741678test5_svpwm

    1下载:
  2. 编写基于DSP2812产生空间矢量波形,用来驱动三相逆变器IGBT-DSP2812-based space vector preparation generated waveforms used to drive three-phase IGBT inverter
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:528384
    • 提供者:陈善开
  1. 56719216SPWM

    0下载:
  2. 在DSP中 通过编程产生一SPWM波形 可以得到正弦调制的逆变器输出-By programming the DSP generates a SPWM waveform Sinusoidal modulation of the inverter can be output
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:58368
    • 提供者:wanglei
  1. 51-pwm

    0下载:
  2. 用定时器产生PWM波形,用于电机驱动(汇编语言) -PWM waveform generated by timer (assembly language)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-21
    • 文件大小:3072
    • 提供者:MLJ
  1. DSP-pwm-

    0下载:
  2. 为了产生一个PWM信号,DSP的定时器定时周期应该和PWM的周期相等。另外需要对DSP的EVA/EVB模块中的比较单元的比较寄存器设定数值,这样该数值一直与定时器的计数器值相比较,按照一定的比较方式,PWM即产生跳变。通过此种方式,DSP的PWM管脚就会产生一个宽度与比较寄存器数值成比例的脉冲信号。在定时器重复定时的过程中就产生了PWM信号。 使用DSP比较单元产生PWM波形不需要硬件连接图,只需对DPS的相关寄存器进行配置就
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:4096
    • 提供者:zhangchao
« 1 2 3 4 5 67 8 9 10 11 »

源码中国 www.ymcn.org