搜索资源列表

  1. 电梯控制器

    0下载:
  2. 一个电梯控制器。模拟电梯的功能。

  3. 所属分类:其它文档

    • 发布日期:2009-01-14
    • 文件大小:8704
    • 提供者:annic350606
  1. 电梯控制器程序设计与仿真

    1下载:
  2. 电梯控制器程序设计与仿真,已经通过仿真.

  3. 所属分类:VHDL编程

    • 发布日期:2009-02-24
    • 文件大小:162522
    • 提供者:zhangjie5168
  1. dianti

    0下载:
  2. Verilog在maxpuls2下开发的电梯控制器的文档(包括代码),其中说明十分详尽-Verilog maxpuls2 under development in the elevator controller files (including code), It showed very detailed
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:73728
    • 提供者:余远恒
  1. liftor

    0下载:
  2. 基于VHDL语言的实用电梯控制器的设计 源程序经Xilinx公司的Foundation软件仿真 -based on VHDL practical elevator controller design source by Xilinx's Foun dation Simulation Software
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:杨洋
  1. 6FloorLift

    0下载:
  2. 设计一个6层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。 1、每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2、设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。 3、电梯每秒升降一层楼。 4、电梯到达有停站请求的楼层,经过1秒电梯门打开,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。 5、电梯能记忆电梯内外所有请
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:zheng
  1. te3560

    0下载:
  2. 基于VHDL语言的实用电梯控制器的设计 -based on VHDL practical elevator controller design based on VHDL practical Elevator Controller VHDL design based on the practical design of the elevator controller based on VHDL practical elevator co
  3. 所属分类:文件格式

    • 发布日期:2024-05-10
    • 文件大小:94208
    • 提供者:
  1. dianti

    0下载:
  2. 电梯控制器,其中包含如何设计硬件电路以及如何设计软件电路-Elevator controller, which includes how to design hardware circuits and how to design software, circuit
  3. 所属分类:文档资料

    • 发布日期:2024-05-10
    • 文件大小:29696
    • 提供者:
  1. elevator_car

    0下载:
  2. 8层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。 1、每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2、设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。 3、电梯每秒升降一层楼。 4、电梯到达有停站请求的楼层,经过1秒电梯门打开,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。 5、电梯能记忆电梯内外所有请求信号,并按照电梯运行规则按
  3. 所属分类:文件格式

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:lai yee
  1. VerilogHDL_Lift_Control

    0下载:
  2. 采用Verilog HDL语言编写的实用电梯控制器,这是一个在实验室里模拟的项目,分为主控制器与分控制器,主控制器完成运行方向、显示楼层、关开电梯门、与分控制器通讯等功能;分控制器是在每一层的设备,实现显示电梯当前所在楼层、接收乘客上升下降要求等功能。此代码对控制类相关的学习者价值很高,-err
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1198080
    • 提供者:廖耿耿
  1. vhd

    0下载:
  2. 一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:124928
    • 提供者:
  1. elivator_control

    0下载:
  2. 电梯控制器 用于八层楼双电梯的协调调度 可进行扩展-Elevator controller for the eight-floor, two-elevator scheduling coordination can be extended
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:544768
    • 提供者:王鹤
  1. elev

    0下载:
  2. Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-10
    • 文件大小:76800
    • 提供者:Fly
  1. THREEFLIFT

    1下载:
  2. 电梯控制器的设计与分析---控制器的层数为三层,,电梯到达有停站请求的楼层,经过一秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:228352
    • 提供者:梁浪风
  1. lift_controler-verilog

    0下载:
  2. 用verilog写的电梯控制器,内附测试文件和实验报告-Using Verilog to write elevator controller, containing the test documentation and test reports
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:665600
    • 提供者:jia
  1. dianti

    0下载:
  2. 电梯控制器的源代码,要的快下快下快下快下-Elevator controller source code, to the soon soon soon soon
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:
  1. elevator

    0下载:
  2. 电梯控制器 可实现电梯上下响应任务,到站提示音,无任务停在基站-Elevator controller can realize the lift up and down in response to mission departure and arrival tone, non-mission stopped at the base station
  3. 所属分类:其他行业

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:hilly
  1. diantikongzhiqi

    0下载:
  2. 本设计是本人的课程设计,基于VHDL的电梯控制器的设计,能够实现12层电梯控制,上下开关,关门延时,提前关门,状态显示,通过波形仿真进行观看结果-The design is my curriculum design, VHDL-based elevator controller design, can achieve 12-storey elevator control, up and down switch, closing dela
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:68608
    • 提供者:polly
  1. 电梯控制器报告(Verilog实现)

    0下载:
  2. 实现一个简单的电梯控制器,能够完成一个四层电梯的控制(The realization of a simple elevator controller, to complete a four storey elevator control)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:16393216
    • 提供者:星空微尘
  1. 双电梯控制器

    1下载:
  2. 使用verilog实现的双电梯控制器,1-9层,仿真通过(a bi-elevator controller written in VerilgHDL, which has floor1-9, simulation passed)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:250880
    • 提供者:gothic22
  1. 8层电梯控制器

    0下载:
  2. 自动电梯控制器,电梯内有八个输入按钮响应用户的上下楼层请求,并有八段数码管显示电梯当前所在楼层位置(there are eight input buttons in the elevator to respond to the user's request for going up and down the floor)
  3. 所属分类:VHDL编程

    • 发布日期:2020-07-18
    • 文件大小:1684480
    • 提供者:wtq0
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org