搜索资源列表

  1. 等精度频率计

    0下载:
  2. 使用vhdl语言写的fpga的应用程序,使献策内容为等精度频率计-use of the VHDL language they simply write the application procedures so that such ideas as to accuracy Cymometer
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:252020
    • 提供者:丢丢熊
  1. 采用等精度测频原理的频率计的程序与仿真

    2下载:
  2. 采用等精度测频原理的频率计的程序与仿真
  3. 所属分类:Windows编程

  1. 等精度频率计

    0下载:
  2. 使用vhdl语言写的fpga的应用程序,使献策内容为等精度频率计-use of the VHDL language they simply write the application procedures so that such ideas as to accuracy Cymometer
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-28
    • 文件大小:251904
    • 提供者:丢丢熊
  1. frequent

    0下载:
  2. 等精度频率计的设计,已经在实验箱上运行的。-such frequency accuracy of the design, the experiment has been running on the box.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-28
    • 文件大小:2048
    • 提供者:龙军
  1. ARM+FPGA

    0下载:
  2. 基于ARM平台的等精度数字显示频率计的设计,已通过测试-ARM-based platforms, such as the accuracy of figures show that the frequency of design, has been tested
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-04-28
    • 文件大小:3072
    • 提供者:钟声
  1. dengjingdupinlv

    0下载:
  2. 等精度测频原理的频率计程序与仿真。。希望大家能用的到撒-such precision frequency measurement principles of Cymometer procedures and simulation. . Hope everyone can withdraw to the
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-28
    • 文件大小:122880
    • 提供者:代松洮
  1. sampleVHDL

    0下载:
  2. 采样等精度测量的VHDL程序..在xilinx ISE 8.1上验证通过-sampling and other precision measurement of VHDL program. . In xilinx ISE tested through 8.1.
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-28
    • 文件大小:122880
    • 提供者:罗辉
  1. DJDPLV_LWB

    0下载:
  2. 利用超高速硬件描述语言(VHDL)在现场可编程逻辑门阵列(FPGA)上编程实现的纯数字式等精度频率计,不但具有较高的测量精度,而且其测量精度不会随着被测信号频率的降低而下降。为了实现对任意信号进行频率测量,在前端输入加整形电路即可。-use ultra-high-speed Hardware Descr iption Language (VHDL) in field programmable logic gate array (FPGA
  3. 所属分类:软件工程

    • 发布日期:2024-04-28
    • 文件大小:30720
    • 提供者:刘刚
  1. Quartus_vhdl

    0下载:
  2. 用QUARTUS编译通过的等精度频率计,我错误,但有几个警告(不影响设计)。我的毕业设计啊!!! -QUARTUS used by the compiler, and other precision frequency, I am wrong. But there are several warning (not affect design). I graduated from the design ah! ! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-28
    • 文件大小:44032
    • 提供者:刘刚
  1. bjjfrequent

    0下载:
  2. 等精度频率计的verilogHDL的实现,我花了好长时间才写的哦
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-28
    • 文件大小:225280
    • 提供者:小闭
  1. freq

    0下载:
  2. 一个基于quartus2的等精度频率计的设计,主要采用的verilogHDL语言-Based on the quartus2 such as a precision frequency meter design, the main language used in verilogHDL
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-04-28
    • 文件大小:481280
    • 提供者:张新
  1. FrC

    0下载:
  2. 用单片机与CPLD做的等精度频率计,误差精确到十亿分之一-MCU and CPLD make use of such precision frequency meter, the error is accurate to a billionth of a
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-28
    • 文件大小:18432
    • 提供者:zhouding
  1. pinlv

    0下载:
  2. 基于单片机与CPLD的 等精度频率计,VHDL语言-Based on SCM and CPLD
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-28
    • 文件大小:270336
    • 提供者:王攀
  1. ep1c3_12_8_gwdvpb

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA等精度频率设计-FPGA and SOPC based on the use of VHDL language, such as precision frequency EDA design
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-04-28
    • 文件大小:35840
    • 提供者:多幅撒
  1. Digital

    0下载:
  2. 数字频率计数器又称通用计数器,是电子测量领域中最常见的测量仪器之一。它可以测量正弦波的频率(周期),脉冲波的频率(周期),脉冲宽度等时间参数。在通信,电子等领域中有广泛的应用。本文对传统的测频方法中存 在的精度低的问题进行了分析:数字频率计数器在测量高频信号时能够达到足够高的测量精度,但在测低频信号时,其测量结果的有效位数将会减少,精度也会相应的降低。在此基础上提出了一种基于单片机的等精度测频率,测周期,测脉冲宽度的实现方法。介绍了单片
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-28
    • 文件大小:21504
    • 提供者:高文斌
  1. AT89C51

    0下载:
  2. 用C51编写的一个等精度频率计,内涵PROTEUS,PCB及相应的代码。非常值得学习之用。-C51 prepared with a precision frequency meter, etc., the connotation of PROTEUS, PCB and the corresponding code. Well worth learning.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-28
    • 文件大小:76800
    • 提供者:陈利庆
  1. gwdvpb

    0下载:
  2. 利用等精度测量原理,通过FPGA运用VHDL编程设计一个数字式频率计 设计具有较高的实用性和可靠性-The use of precision measuring principle, the use of VHDL programming through the FPGA to design a digital frequency meter design with high practicality and reliability o
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-28
    • 文件大小:1024
    • 提供者:tangjia
  1. instrument

    0下载:
  2. 以单片机为控制核心 ,用可编程逻辑控制芯片 CPLD ,产生双32位的计数器和相位差检测器 ,进行等精度的 频率、相位差测量. 计数器的计数时间宽度和显示方式由键盘设定. 单片机读入计数值 ,进行浮点运算 ,测量结 果显示于液晶屏上-err
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-28
    • 文件大小:90112
    • 提供者:陈小明
  1. equal_precision_cymometer

    0下载:
  2. 采用等精度测频原理的频率计的程序与仿真,用verilog语言实现,可以仿真综合得到所想时序!-Such as the accuracy of frequency measurement using the principle of the frequency of procedures and simulation, using Verilog language, you can get the integrated simulatio
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-04-28
    • 文件大小:4096
    • 提供者:刘彬
  1. MCU_MeasureFrequency

    0下载:
  2. 单片机测频程序 采用测频法和测周法进行等精度测量频率,测频范围:20Hz到29kHz-Single-chip frequency measurement procedures for the use of frequency measurement method and measurement method, such as precision-week measurement frequency, frequency range: 2
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-28
    • 文件大小:1024
    • 提供者:laochao
« 12 3 4 5 6 7 8 9 10 ... 46 »

源码中国 www.ymcn.org