搜索资源列表

  1. youname

    0下载:
  2. 用QUARTUS编译通过的等精度频率计,我错误,但有几个警告(不影响设计)。我的毕业设计啊!!!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2175
    • 提供者:luoliwen
  1. DDS_Project

    0下载:
  2. 频率计 等精度频率计 可以用于频率测试的等精度频率计 可用的
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:674912
    • 提供者:wangzhaohui
  1. fre

    1下载:
  2. 基于等精度测频原理,设计的等精度频率计,测试结果很精确,达到0.01HZ。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:287530
    • 提供者:MAZEMIN
  1. dengjingdupinlvji

    0下载:
  2. 等精度频率计,使用定时器和计数器配合实现对外部脉冲计数
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1996
    • 提供者:苏维
  1. 基于FPGA的等精度频率计的设计

    0下载:
  2. 所属分类:编程文档

  1. 采用等精度测频原理的频率计的程序与仿真

    2下载:
  2. 采用等精度测频原理的频率计的程序与仿真
  3. 所属分类:Windows编程

  1. dengjingdupinlv

    0下载:
  2. 等精度测频原理的频率计程序与仿真。。希望大家能用的到撒-such precision frequency measurement principles of Cymometer procedures and simulation. . Hope everyone can withdraw to the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:122880
    • 提供者:代松洮
  1. Danpianji_JiekouJiShu

    0下载:
  2. 《单片机接口技术实用子程序》配套源代码 包括:232,485,SPI总线,IIC,CAN,flash,16位AD7715,时钟日历芯片PCF8563的应用,等精度数字频率计的实现,直流电机的闭环和闭环控制等经典原代码!-"microcontroller interface technology practical subroutine" complementary source code include : 23
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:428032
    • 提供者:唐进
  1. instrument

    0下载:
  2. 以单片机为控制核心 ,用可编程逻辑控制芯片 CPLD ,产生双32位的计数器和相位差检测器 ,进行等精度的 频率、相位差测量. 计数器的计数时间宽度和显示方式由键盘设定. 单片机读入计数值 ,进行浮点运算 ,测量结 果显示于液晶屏上-err
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:90112
    • 提供者:陈小明
  1. equal_precision_cymometer

    0下载:
  2. 采用等精度测频原理的频率计的程序与仿真,用verilog语言实现,可以仿真综合得到所想时序!-Such as the accuracy of frequency measurement using the principle of the frequency of procedures and simulation, using Verilog language, you can get the integrated simulatio
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-13
    • 文件大小:4096
    • 提供者:刘彬
  1. VHDL-XILINX-EXAMPLE26

    0下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC08
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:3687424
    • 提供者:hawd
  1. EDAdesign(3)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷3包括车载DVD位控系统、直接数字频率合成器、图像边缘检测器、等精度数字频率计、出租车计费系统的设计与分析-The document is on a number of VHDL source code in many programming examples and analysis, in the hope that useful VHDL enthus
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:4392960
    • 提供者:shengm1
  1. pinglvji

    0下载:
  2. 51单片机开发的等精度数字频率计,实现计数0--51 Single-chip, such as the development of precision digital frequency meter, realize counts 0--
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:9216
    • 提供者:丁佳
  1. frequencymeter

    0下载:
  2. 用单片机C51语言写的等精度的频率计,比较准,能测量较高频率,测高频时需要一定的等待时间-C51 MCU and other languages written in the frequency accuracy, the comparison accurate, capable of measuring high frequency, high frequency measurement requires a certain wait
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:53248
    • 提供者:曹思益
  1. plj

    0下载:
  2. 等精度数字频率计 的一个工程---包括vhdl源程序和编译后产生的相关文件-Such as precision digital frequency of a project- including VHDL source code and compile the relevant documents after
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:1838080
    • 提供者:吴晨光
  1. dengjingdupinlvji

    0下载:
  2. 等精度频率计,使用定时器和计数器配合实现对外部脉冲计数-Such as precision frequency meter, the use of timers and counters with the realization of the external pulse counting
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:苏维
  1. plji

    0下载:
  2. 单片机 语言编写 注释详细 7.8等精度数字频率计的实现-Singlechip languages, such as the accuracy of the Notes 7.8 Details of the realization of digital frequency
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:sunjiazhong
  1. etester

    0下载:
  2. FPGA实现等精度的频率和脉宽测量,使用次源码的作品获得2008年TI杯湖北省电子设计大赛一等奖-FPGA to achieve such accuracy the frequency and pulse width measurement, the use of sub-source works TI Cup 2008 Electronic Design Competition first prize in Hubei Provinc
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:冯进伟
  1. cymometer

    0下载:
  2. 基于89C51的等精度频率计LCD1602显示,附带proteus仿真原理图以及原程序!-89C51-based, such as the accuracy of the frequency meter LCD1602 display, incidental Proteus schematic simulation, as well as the original program!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:160768
    • 提供者:123456
  1. frequency

    0下载:
  2. 一种等精度的频率计,同时适合高频和低频,误差小。-A precision frequency meter, etc. At the same time, suitable high-frequency and low frequency, the error small.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:邹国雄
« 1 2 3 4 56 7 8 9 10 11 »

源码中国 www.ymcn.org