搜索资源列表

  1. SOC

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:208896
    • 提供者:张林锋
  1. VHDL5

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,
  3. 所属分类:软件工程

    • 发布日期:2024-05-14
    • 文件大小:119808
    • 提供者:张林锋
  1. CPLD

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,
  3. 所属分类:软件工程

    • 发布日期:2024-05-14
    • 文件大小:192512
    • 提供者:张林锋
  1. C

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,
  3. 所属分类:汇编语言

    • 发布日期:2024-05-14
    • 文件大小:324608
    • 提供者:张林锋
  1. plj

    0下载:
  2. 基于FPGA的等精度数字频率计实现等精度的频率计-To achieve precision frequency meter, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:122880
    • 提供者:wangyuansong
  1. RLC

    0下载:
  2. 本文所设计的系统是基于AT89C52单片机控制的简易RLC测试仪。为了充分利用单片机的运算和控制功能,方便的实现测量。把参数R、L、C转换成频率信号f,然后用单片机计数后再运算求出R、L、C的值,并送显示。 转换的原理分别是RC振荡电路和电容三点式振荡电路。为了比较准确的测试而频率的计数则是利用等精度数字频率计完成。然后再将结果送单片机运算,并在LED显示器上显示所测得的数值。通过一系列的系统调试,本测试仪到达了测试标准。经过测试,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:533504
    • 提供者:马天
  1. LowFreCounter

    0下载:
  2. 实现对低频信号进行等精度测量的频率计verilog hdl代码-Realization of low-frequency signals, such as precision measurement of the frequency code verilog hdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:2465792
    • 提供者:周洪昌
  1. 20096.28

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:126976
    • 提供者:
  1. FPGANios_pinlvji

    0下载:
  2. 基于FPGANios_的等精度频率计设计,采用SOPC设计技术和基于Niosii嵌入式软核处理器的系统设计方案,对传统的等精度测量方法进行了改进-FPGANios_ based on accuracy, such as the frequency of the design, use of technology and SOPC design Niosii based on soft-core processor embedded sy
  3. 所属分类:软件工程

    • 发布日期:2024-05-14
    • 文件大小:165888
    • 提供者:于银
  1. pljtest

    0下载:
  2. CYCLONE II 内嵌8051IP核实现等精度频率计-CYCLONE II embedded nuclear 8051IP achieve precision frequency meter, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:4552704
    • 提供者:吴宏伟
  1. gfdfgbb

    0下载:
  2. 设计一个简易等精度频率计 信号:方波 频率:1Hz~1MHz-Design a simple and other precision frequency meter signal: square wave frequency: 1Hz ~ 1MHz
  3. 所属分类:其他小程序

    • 发布日期:2024-05-14
    • 文件大小:1018880
    • 提供者:方大牙
  1. plj

    0下载:
  2. --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 --最后修改日期:2004.4.14。 -- File Name: PLJ.vhd.- Function: 4 display of equal precision frequency meter.- Last modified date: 2004.4.14.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:mao
  1. djdpvj

    0下载:
  2. 基于89c51单片机的等精度频率计C语言代码-it is C code of 89C51
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:38912
    • 提供者:叶程
  1. EP1C3_12_8_GW481

    0下载:
  2. 等精度频率计 基于fpga的等精度频率计设计 利用Quartus||进行仿真-And other precision frequency meter fpga based design of equal precision frequency meter using Quartus | | simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:351232
    • 提供者:
  1. fre

    0下载:
  2. 等精度频率计VHDL语言程序 等精度频率计VHDL语言程序-VHDL language and other precision frequency meter frequency meter accuracy procedures VHDL language program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:544768
    • 提供者:yan frank
  1. djdplj

    0下载:
  2. 等精度频率计测量 输入标准频率信号和输入信号,在闸门时间内对其进行测量-And other precision frequency standards for measuring the input frequency signal and the input signal, the gate time to measure its
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:520192
    • 提供者:kaikai
  1. Precision-frequency-meter--etc.

    0下载:
  2. 等精度频率计 用于频率计的制作的应用软件-Precision frequency meter, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1003520
    • 提供者:zz
  1. 8051_FTEST_K4X4(NO.1)

    0下载:
  2. 8051_FTEST_K4X4 带按键的4x4的 等精度频率计!-8051_FTEST_K4X4 with key 4x4 and other precision frequency counter!
  3. 所属分类:编程文档

    • 发布日期:2024-05-14
    • 文件大小:5533696
    • 提供者:77
  1. 11

    0下载:
  2. 等精度频率计,verilog语言写的,可在开发板上验证,已经试过-And other precision frequency meter, verilog language, and can be verified on the development board, has tried
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1259520
    • 提供者:谷向前
  1. Measured-phase-shift-FPGA

    0下载:
  2. 数字频率计是用于测量信号频率的电路。测量信号的频率参数是最常用的测量方法之一。实现频率测量的方法比较多, 在此我们主要介绍三种常用的方法: 时间门限测量法、标准频率比较测量法、等精度测量法-Digital frequency meter is used to measure the frequency of the circuit. Measuring signal frequency parameter is the most com
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:6385664
    • 提供者:chenfeihu
« 1 2 3 4 5 67 8 9 10 11 »

源码中国 www.ymcn.org