搜索资源列表

  1. ShiftLiner

    0下载:
  2. 该程序用于实现密码学中的线性反馈移位寄存器的模拟,本程序以5级为例,并可以判断输出数列的周期
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1005
    • 提供者:唐扬
  1. linear_feedback_shift_register_unit

    0下载:
  2. 实现产生伪随机序列的部件 —— 线性反馈移位寄存器单元。 SFlog2为扩频因子的底数为2的对数值,cycle为PN序列的周期,其值为2^SFlog2。initial_state为移位寄存器的初始状态,generator_polynomial_coefficient为生成PN序列所需的本原多项式,对应于移位寄存器的连接向量。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1148
    • 提供者:fisher
  1. lfsr6s3

    0下载:
  2. 线性反馈移位寄存器Verilog源程序,能够产生伪随机序列
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:1844
    • 提供者:帅哥
  1. LFSR

    0下载:
  2. 伪随机序列产生器,线性反馈移位寄存器,原代码。-Pseudo-random sequence generator, linear feedback shift register, the original code.
  3. 所属分类:加密解密

    • 发布日期:2024-05-16
    • 文件大小:162816
    • 提供者:李辛
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:加密解密

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:李辛
  1. lfsr

    0下载:
  2. 线性反馈移位寄存器的matlab实现,可以任意设置抽头(抽头数小于等于3)-matlab implementation of Linear feedback shift register, you can set no more than three taps
  3. 所属分类:matlab例程

    • 发布日期:2024-05-16
    • 文件大小:3072
    • 提供者:tnt
  1. xuliemime

    1下载:
  2. 线性反馈移位寄存器LFSR生成随机序列的原理,序列密码的加密过程-Linear feedback shift register LFSR to generate random sequence of the principle of the encryption cipher
  3. 所属分类:加密解密

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:bluerain
  1. PRSG

    0下载:
  2. 线性同余发生器, 反馈移位寄存器,组合发生器这三个算法加实现并有可执行文件。另外还有PPT和文档-PRSG
  3. 所属分类:加密解密

    • 发布日期:2024-05-16
    • 文件大小:586752
    • 提供者:luhua
  1. LFSR

    0下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear fee
  3. 所属分类:Windows编程

    • 发布日期:2024-05-16
    • 文件大小:870400
    • 提供者:风影
  1. gen_displayer

    0下载:
  2. 基于线性反馈移位寄存器电路,并结合FPGA 的特有结构,一种简捷而又高效的伪随机序列产生方法-The Implementation and Research on Pseudo-Random Number Generators with FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:王晓飞
  1. LFSR

    0下载:
  2. 通过实现简单的线性反馈移位寄存器(LFSR),理解LFSR的工作原理、本原多项式重要意义-By implementing a simple linear feedback shift register (LFSR), to understand the working principle of LFSR, primitive polynomial significance
  3. 所属分类:加密解密

    • 发布日期:2024-05-16
    • 文件大小:30720
    • 提供者:李惺忪
  1. random

    0下载:
  2. 产生符合一定分布的随机数的方法是,先产生(0,1)均匀分布的随机数,然后通过一个适当的变换方法得到所要求分布的随机数。常用的均匀分布伪随机序列发生器有线性同余发生器和线性反馈移位寄存器两种。-That meet certain distribution of the random number is first generated (0,1) uniformly distributed random number, then an ap
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:wswfx
  1. BMalthorithm

    0下载:
  2. BM算法找出产生该段序列的最短级数的线性反馈移位寄存器(LFSR),如对序列a=(1001101011),结果为LFSR(25,4)即周期为25,寄存器级数为4,此处所给为固定长度。-Using BM algorithm to find the linear feedback shift registers with the least steps corresponding to certain sequence. For examp
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-16
    • 文件大小:164864
    • 提供者:richard
  1. m

    0下载:
  2. 由20位移位寄存器线性反馈产生的m序列的vhdl代码-20-bit shift register linear feedback sequence generated vhdl code m
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:3072
    • 提供者:李修函
  1. gold1

    0下载:
  2. 最大线性反馈移位寄存器产生的m序列和m序列优选对构成的Gold序列,以及matlab仿真程序。-Maximum linear feedback shift register produces m sequence and optimization of the sequence of m Gold sequence, and constitutes matlab simulation program.
  3. 所属分类:matlab例程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:颜媛
  1. cipper

    0下载:
  2. 随机生成判断条件,生成5级线性反馈移位寄存器,并输出五级的序列-Randomly generated to determine the conditions to generate five linear feedback shift register, and outputs a sequence of five
  3. 所属分类:控制台(字符窗口)编程

    • 发布日期:2024-05-16
    • 文件大小:3008512
    • 提供者:王加玉
  1. UHF-RFID-CRC

    0下载:
  2. 本文首先研究了IsO/IECl8000.6标准中A、B两类短程通讯的前向链路与返回 链路的数据编码方式,对(FMO)双相间隔编码、(PIE)脉冲间隔编码、曼切斯特码 的编解码方式和技术参数进行了深入的分析,并利用FPGA实验平台对这三种编 码的编、解码电路进行了设计和仿真。然后对UHF RFID系统的差错控制技术原理 进行了探讨,重点研究了ISo/IECl8000.6标准中采用的数据保护与校验技术,即 循环冗余校验(
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:4366336
    • 提供者:HY jian
  1. LFSR

    1下载:
  2. 简单的线性反馈移位寄存器标准C语言实现,采用visual c++2010编写,如果你打不开,请复制里面的.cpp文件采用visual c++6.0打开即可。 详细内容见源码-Simple linear feedback shift register the standard C language, written with visual c++2010, and if you can not open, please copy th
  3. 所属分类:加密解密

    • 发布日期:2024-05-16
    • 文件大小:784384
    • 提供者:李杨元
  1. Register

    0下载:
  2. 实现8位自主线性反馈移位寄存器。自主线性反馈移位寄存器具有二进制的抽头(tap)系数C1,...,CN,这些系数决定Y(N)是否被反馈给寄存器的特定级。-Achieve 8-bit linear feedback shift register independently. Autonomous linear feedback shift register with binary tap (tap) coefficients C1 ,..
  3. 所属分类:GIS编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:alen
  1. Random_creat_2017

    0下载:
  2. 产生8bit随机数,采用线性反馈移位寄存器(The 8bit random number is generated by using linear feedback shift register)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:101376
    • 提供者:haha40508
« 1 2 34 5 »

源码中国 www.ymcn.org