搜索资源列表

  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse freq
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4210
    • 提供者:邵君武
  1. 脉冲发生器

    0下载:
  2. 脉冲发生器程序,LCD显示,键盘操作
  3. 所属分类:驱动编程

  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse freq
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:4096
    • 提供者:邵君武
  1. Single_Pulse

    0下载:
  2. 单个脉冲发生器的multisim9仿真文件-single pulse generator simulation document multisim9
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:282624
    • 提供者:欧阳菲菲
  1. 9.1_ONE_PULSE

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.1 简单的可编程单脉冲发生器   9.1.1 由系统功能描述时序关系   9.1.2 流程图的设计   9.1.3 系统功能描述   9.1.4 逻辑框图   9.1.5 延时模块的详细描述及仿真   9.1.6 功能模块Verilog-HDL描述的模块化方法   9.1.7 输入检测模块的详细描述及仿真   9.1.8 计数模块的详细描
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:4096
    • 提供者:宁宁
  1. 9.2_LCD_PULSE

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.2 具有LCD显示单元的可编程单脉冲发生器   9.2.1 LCD显示单元的工作原理   9.2.2 显示逻辑设计的思路与流程   9.2.3 LCD显示单元的硬件实现   9.2.4 可编程单脉冲数据的BCD码化   9.2.5 task的使用方法   9.2.6 for循环语句的使用方法   9.2.7 二进制数转换BCD码的硬件实现
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:宁宁
  1. manchongfashen

    0下载:
  2. 实验24-脉冲信号发生器实验参考程序,基于PIC16F877A-experimental 24-pulse generator experimental reference program, based on PIC16F877A
  3. 所属分类:汇编语言

    • 发布日期:2024-05-15
    • 文件大小:3072
    • 提供者:徐强
  1. Pulser

    0下载:
  2. 用STC单片机开发的简单脉冲发生器,用来测试步进驱动器.-With STC to develop a simple single-chip pulse generator, to test the stepper drive.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:25600
    • 提供者:马文杰
  1. digital_cymometer

    0下载:
  2. 简易数字频率计利用复杂可编程逻辑器件FPGA,VHDL编程将所有功能模块集成在一块芯片上。功能模块包括时基脉冲发生器、计数器、数据锁存器和显示电路4部分。设计时先分别设计各功能模块,并调试得到正确仿真结果,然后将各个功能模块组合起来。最后作整体仿真、下载,得到实物。由于采用纯数字硬件设计制作,稳定性、可靠性远远高于使用单片机或模拟方式实现的系统,外围电路简单。该数字频率计达到预期要求,实现了可变量程测量,测量范围0.1Hz—9999MH
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:412672
    • 提供者:严术骞
  1. maichong

    0下载:
  2. 模拟脉冲发生器,带LCD显示,可以做为电能表测试用-Simulation of pulse generator, with LCD display, can be used as energy meter test
  3. 所属分类:uCOS开发

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:池晓
  1. pulse

    0下载:
  2. 脉冲发生器,可实现脉宽和幅度的任意调节。相信对大家有用。-Pulse generator, pulse width and amplitude can realize arbitrary regulation. I believe it useful to everyone.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:514048
    • 提供者:sdcsadf
  1. ONE_PULSE_LCD

    0下载:
  2. 具有LCD显示单元的可编程单脉冲发生器的硬件实现-LCD display unit with a single programmable pulse generator hardware realize
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:180224
    • 提供者:jinfei
  1. single

    0下载:
  2. verilog 我自己写得按单脉冲发生器,通过了综合和仿真,和频率可变的正弦波发生器,-verilog I write by a single pulse generator, through the synthesis and simulation, and variable frequency sine wave generator,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:潘见
  1. carp

    0下载:
  2. 采用PIC16F675制作的脉冲发生器,原用于驾校课时作弊。-PIC16F675 produced using pulse generator, the original驾校class for cheating.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:ycchn
  1. pulse_generator

    0下载:
  2. 本文件时关于脉冲发生器的设计-This document on the design of pulse generator
  3. 所属分类:文件格式

    • 发布日期:2024-05-15
    • 文件大小:6144
    • 提供者:靖书磊
  1. mcfsqdsp3

    0下载:
  2. 一种脉冲发生器的实现,可用于PWM控制中-The realization of a pulse generator can be used for PWM control
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:gcm
  1. pusle-generator

    0下载:
  2. 根据Jean J. Labrosse的dio嵌入式构件,自己编写的一个脉冲发生器。结构简洁,注释清晰,可供编程者参考。-According to Jean J. Labrosse embedded component of the dio, I have written a pulse generator. Simple, clear notes for programming reference.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:11264
    • 提供者:zhuxiaosan
  1. maichong2

    0下载:
  2. 长度可以控制的脉冲发生器,实际使用过,VHDL编写,放心下载-pulse generator,good choice.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:吴次仁
  1. 时序脉冲发生器

    0下载:
  2. 本程序由AVR ATmega16单片机运行实现以下功能,触发时间范围100ms~5min,触发周期10ms~50min,触发通道1~12通道任意可调,广泛运用在工业脉冲阀控制器中,能够全面运用在各类脉冲控制场合。(This program is run by AVR ATmega16 microcontroller to achieve the following functions, trigger time range of 100
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:55296
    • 提供者:老茂
  1. 基于FPGA的多路同步脉冲发生器设计1

    0下载:
  2. 采用FPGA(现场可编程门序列)编写VHDL语言设计多路同步脉冲发生器,对信号进行分频处理,实现四路信号相位相差T/16和T/8的延迟相位输出,实现的四路脉冲与传统的脉冲同步器不同,它具有高集成度,高通用性,容易调整和高可靠性等特点。(Using FPGA (field programmable gate sequence) to write VHDL language to design multi-channel synchrono
  3. 所属分类:VHDL编程

« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org