搜索资源列表

  1. ba

    0下载:
  2. 巴克码生成与测试电路。 当计数脉冲不断进入由Q3Q2Q1组成的三位二进制异步计数器时,3-8译码器的8个输出经反相器后顺序输出高电平。其中五路信号经“或非”后再和其中3路“或”,在Y端便可顺序产生11000100代码序列。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:380020
    • 提供者:wang
  1. zhouqixinhaoceliang

    0下载:
  2. 此程序可以对时钟脉冲信号进行计数,并且在数码管上计数显示。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1290
    • 提供者:bihaijinwu
  1. printcounter

    0下载:
  2. 1)能够对计数脉冲(用开关模拟)进行计数 2)能够进行6位数码显示,显示当前的印张数 3)能够进行印数的预置,能够进行正/反计数 4)当计数达到计数预置值或计数为零时,能够报警(LED 发光) 附加功能:报警时可以用喇叭鸣响,并用一个键控制其停止 。 操作说明: 开启电源后,按数字键按预设的印刷数量渐入计数值,按“正计或反计键”选择正向或者方向计数,按下“确定”键,系统则开始自动进行技术操作,但计数结束时,红色警示灯开始亮
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:8755
    • 提供者:yuey996
  1. d02

    0下载:
  2. 此程序为脉宽测量电路vhdl代码,能够对输入的脉冲信号用10HZ时钟进行计数,输出计数结果。主模块调用显示、计数、控制三个模块实现主体功能
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2364
    • 提供者:jingken
  1. plj

    0下载:
  2. 主要方法和要求:(1)用汇编语言对定时器8253和中断控制器8259A编程计数、定时和中断,进行定时计数(在规定的时间内记录外部脉冲的数目),计算出频率,用LED数码管显示出来。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3143
    • 提供者:阿晶
  1. AVR

    1下载:
  2. AVR单片机 实验教学指导书 实验一 实训装置的认识与软件使用 实验二 彩灯控制 实验三 键控加减计数 实验四 外部中断的使用 实验五 数码管动态扫描显示 实验六 实时时钟显示 实验七 高频脉冲频率的测量 实验八 低频脉冲频率的测量 实验九 脉宽调制的实验 实验十 显示驱动器7219的使用 实验十一 7219驱动8位8段数码管的时钟显示 实验十二 8×8点阵字符显示控制器的使用
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:864737
    • 提供者:廖云
  1. 数据结构c描述习题集答案

    0下载:
  2. 减1计数器 一、设计要求 用Verilog HDL语言设计一个计数器。 要求计数器具有异步置位/复位功能,可以进行自增和自减计数,其计数周期为2^N(N为二进制位数)。 二、设计原理 输入/输出说明: d:异步置数数据输入; q:当前计数器数据输出; clock:时钟脉冲; count_en:计数器计数使能控制(1:计数/0:停止计数); updown:计数器进行自加/自减运算控制(1:自加/0:自减); load_d-a counte
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:112206
    • 提供者:tutu
  1. 模拟电子琴

    0下载:
  2. 一、 实验目的: (1. 由4X4组成16个按钮矩阵,设计成16个音。 (2. 可随意弹奏想要表达的音乐。 二、 实验原理 (1. 4X4行列式键盘识别; (2. 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们要的所想音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,因
  3. 所属分类:嵌入式/单片机编程

  1. 基于MCS_51单片机的高精度数字测相方法.pdf

    0下载:
  2. 相位是周期信号的一种重要的波形参数. 利用 MCS - 51 单片机与外部电路相结合 ,充分 利用其片内资源 ,采用过零鉴相法 ,高频脉冲填充计数 ,多周期等精度测量方法 ,实现了相位差的高精度 测量. 着重介绍了系统原理及硬软件实现方法
  3. 所属分类:文档资料

  1. 计数器控制周期性写时序

    0下载:
  2. 50MHz的晶振频率,可以产生50MHz的计数器。每个计数周期代表20ns。 每毫秒产生一个写脉冲,意味着20000个时钟为一个大循环,换成二进制,需要15位的计数器,计到19999强制归零。 不可能产生30ms的准确写宽度,最小只能用两个周期产生40ms宽的写脉冲。
  3. 所属分类:汇编语言

  1. 数据结构c描述习题集答案

    0下载:
  2. 减1计数器 一、设计要求 用Verilog HDL语言设计一个计数器。 要求计数器具有异步置位/复位功能,可以进行自增和自减计数,其计数周期为2^N(N为二进制位数)。 二、设计原理 输入/输出说明: d:异步置数数据输入; q:当前计数器数据输出; clock:时钟脉冲; count_en:计数器计数使能控制(1:计数/0:停止计数); updown:计数器进行自加/自减运算控制(1:自加/0:自减); load_d-a counte
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:111616
    • 提供者:tutu
  1. EWB

    0下载:
  2. EWB做的多功能数字钟 由振荡器输出稳定的高频脉冲信号作为时间基准,经分频器输出标准的秒脉冲,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“12翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒, 可发挥部分:使闹钟具有可整点报时与定时闹钟的功能。 -EWB done by the multi-function digital clock oscillato
  3. 所属分类:其他小程序

    • 发布日期:2024-05-11
    • 文件大小:129024
    • 提供者:zero
  1. dd

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。-Lane used microcontroller, two counters, as well as D flip-flop on the measured signal pulse with the stand
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-11
    • 文件大小:58368
    • 提供者:
  1. qqqqqqqqqq

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。-Lane used microcontroller, two counters, as well as D flip-flop on the measured signal pulse with the stand
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-11
    • 文件大小:3072
    • 提供者:
  1. fffffff

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。-Lane used microcontroller, two counters, as well as D flip-flop on the measured signal pulse with the stand
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-11
    • 文件大小:302080
    • 提供者:
  1. design

    0下载:
  2. 本文介绍了采用VB语言编程,利用CAN现场总线技术以及华控RSM智能模块搭建分布式监控系统的逻辑结构,采用CAN2.0通讯协议实现上位机与模块的数据通信。详细介绍了RSM04隔离型脉冲输入计数器模块与上位计算机的连接与通讯实现。在实验过程中模拟了信号发生源,其发出的信号经模块采集后送至上位机,执行计数值程序后,可以读取计数结果。-This paper introduces the use of VB programming langua
  3. 所属分类:软件工程

    • 发布日期:2024-05-11
    • 文件大小:65536
    • 提供者:李婷
  1. ba

    0下载:
  2. 巴克码生成与测试电路。 当计数脉冲不断进入由Q3Q2Q1组成的三位二进制异步计数器时,3-8译码器的8个输出经反相器后顺序输出高电平。其中五路信号经“或非”后再和其中3路“或”,在Y端便可顺序产生11000100代码序列。-Barker code to generate and test circuits. When the count pulse entering from Q3Q2Q1 composed of three asy
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-11
    • 文件大小:379904
    • 提供者:wang
  1. plj

    0下载:
  2. 主要方法和要求:(1)用汇编语言对定时器8253和中断控制器8259A编程计数、定时和中断,进行定时计数(在规定的时间内记录外部脉冲的数目),计算出频率,用LED数码管显示出来。 -The main methods and requirements: (1) using assembly language on the timer and interrupt controller 8253 programmable 8259A coun
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-11
    • 文件大小:3072
    • 提供者:阿晶
  1. AVR

    0下载:
  2. AVR单片机 实验教学指导书 实验一 实训装置的认识与软件使用 实验二 彩灯控制 实验三 键控加减计数 实验四 外部中断的使用 实验五 数码管动态扫描显示 实验六 实时时钟显示 实验七 高频脉冲频率的测量 实验八 低频脉冲频率的测量 实验九 脉宽调制的实验 实验十 显示驱动器7219的使用 实验十一 7219驱动8位8段数码管的时钟显示 实验十二 8×8点阵字符显示控制器的使用
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-11
    • 文件大小:864256
    • 提供者:廖云
  1. Trafficlight

    0下载:
  2. 系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间; 因为各状态持续时间不一致,所以上述计数器应置入不同的预置数; 倒计时计数值输出至二个数码管显示; 程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号。-Sys
  3. 所属分类:其他小程序

    • 发布日期:2024-05-11
    • 文件大小:1024
    • 提供者:kid
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 19 »

源码中国 www.ymcn.org