搜索资源列表

  1. 08_VHDL_simulation2

    0下载:
  2. 台湾人梁奕智写的VHDL编程学习的PPT讲义,里面包括内容有D触发器、寄存器、累加器、计数器、有限状态机等非常有用的内容。-Taiwanese Liang-chi written in VHDL programming learning PPT lectures, which include the contents of D flip-flops, registers, accumulators, counters, finite s
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:690176
    • 提供者:WeimuMa
  1. 0099counter

    0下载:
  2. 利用AT89S51单片机来制作一个手动计数器,在AT89S51单片机的P3.7管脚接一个轻触开关,作为手动计数的按钮,用单片机的P2.0-P2.7接一个共阴数码管,作为00-99计数的个位数显示,用单片机的P0.0-P0.7接一个共阴数码管,作为00-99计数的十位数显示-AT89S51 Singlechip use to produce a manual counter, in the P3.7 pin MCU AT89S51 by
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:jazk
  1. c4240c

    0下载:
  2. 一个通用计数器的VHDL源代码,只要稍做修改,就可以实现各种进制的计数器。-A generic VHDL source code counter, as long as some small modifications, we can realize a variety of M-ary counter.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:litao
  1. frequency-phase_test_vhdl

    0下载:
  2. 相位差测试,频率测试、频率计数器、闸门控制器、显示译码控制的vhdl程序-Phase tests, the frequency of testing, frequency counters, gate controller, showing decoding control VHDL procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:王充
  1. COUNT10

    0下载:
  2. 一个十进制计数器的vhdl程序,大家可以参考,已经经过编译了-A decimal counter VHDL process, everyone can refer to, has been compiled
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:108544
    • 提供者:wangyan
  1. 1002016p_Sa_5

    0下载:
  2. 用VHDL语言实现8位十进制计数器的设计,计数结果用实验板上8个数码管显示-VHDL language with eight decimal realize the design of counters, counting the results of experiments on-board with 8 digital tube display
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:54272
    • 提供者:chenli
  1. pulse

    0下载:
  2. 本程序实现了一个信号发生器。此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成,它的输出信号的高低电平脉宽可分别由两组8位预置数进行控制。-This procedure implements a signal generator. This signal generator is made up of two identical adder can be loaded from the counter LCNT8 compo
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:liushenshen
  1. fenpin1

    0下载:
  2. VHDL分频器,利用分频比较错法,要实现K=324/28=8.3571428571...的分频周期为28,18个8分频和10个9分频循环,所以设一个0到27的循环计数器,每当1、4、7、10、13、16、19、22、27时进行9分频,其他时为8分频;为使占空比尽量接近50%,需要在每一个8或9分频中设定一下输出几个时钟的0和1。-err
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:wx
  1. dianzibaojingqi

    0下载:
  2. 电子警报器设计,是在TND-MD教学系统上实现的,所使用的芯片主要有8253定时计数器、8259A中断控制器、8255A并行接口电路芯片。通过按键实现报警与不报警的功能。 源代码在文档最后,附有注释。
  3. 所属分类:汇编语言

    • 发布日期:2024-05-17
    • 文件大小:15360
    • 提供者:飘零
  1. number-clock

    0下载:
  2. 时间计数器,包含电路仿真ISIS 6 Professional线路图等信息。-Time counter, including circuit simulation ISIS 6 Professional route map and other information.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-17
    • 文件大小:43008
    • 提供者:史文剑
  1. shuxianpinlvjishu

    0下载:
  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ-AT89S51 MCU using T0, T1 timing counter function, to complete the input signal frequency counting, counting the frequen
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:10240
    • 提供者:zhoujianjun
  1. binarycount

    0下载:
  2. 异步复位、同步置数的四位二进制计数器的VHDL源文件-Asynchronous reset, synchronous purchase the number of binary counter 4 of the VHDL source files
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:chenwen
  1. intcount

    0下载:
  2. 用整数形式实现四位加法计数器的一个源程序-Realize the four forms with integer addition, a source counters
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:chenwen
  1. dianziqin

    0下载:
  2. 下面就用AT89S51单片机产生一首“生日快乐”歌曲来说明单片机如何产生的。 在这个程序中用到了两个定时/计数器来完成的。其中T0用来产生音符频率,T1用来产生音拍。 -The following single-chip AT89S51 have used a
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:张辉亚
  1. 50vhdl

    0下载:
  2. 50个VHDL常用的模块,包括计数器,译码器,编码器,锁存器等等,可供参考-50 commonly used VHDL modules, including counters, decoders, encoders, latches, etc., can be used as reference
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:44032
    • 提供者:
  1. 6weishuxian

    0下载:
  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。能够对0-250KHZ的信号频率进行准确计数,同时计数误差不超过±1HZ-AT89S51 MCU using T0, T1 timing counter function, to complete the input signal frequency counting, counting the frequen
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:41984
    • 提供者:叶青
  1. ro_cnt

    0下载:
  2. 小型的计数器编码,采用verilog语言,经测试可通过-Small counter coding, the use of Verilog language, have been tested through
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:zsb
  1. jishujidanpianji

    0下载:
  2. 设计一个单片机控制的秒表系统。利用单片机的定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及按键来设计计时器。将软、硬件有机地结合起来,使得系统能够正确地进行加、减(倒)计时,数码管能够正确地显示时间。-Design a single-chip control system stopwatch. The use of single-chip timer/counter timing and number of the pri
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:176128
    • 提供者:dd
  1. cont60

    0下载:
  2. 这个压缩程序包含两个60进制计数器的源代码,可供习惯不同编程风格的用户使用-The compression process consists of two 60-band counter source code for different programming style habits of users
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:吴明星
  1. Verilog_example

    0下载:
  2. 本文件包括多路选择器器建模,译码器实验程序,加法器实验程序,比较器实验程序,计数器建模,I2C接口标准建模源码,串行接口RS232标准建模源码标准,LCM建模源码,时钟6分频源码,串并转化源码。 ,对于硬件设计初学者来说有一定的参考价值。-This document includes MUX device modeling, experimental procedure decoder, adder experimental pro
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1064960
    • 提供者:朱秋玲
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

源码中国 www.ymcn.org