搜索资源列表

  1. jishi

    0下载:
  2. 辩论赛计时器 可以实现更换背景、调整计时时间、多个阶段计时-Debate tournament timer can realize the replacement of the background, adjust time-time, multi-stage time
  3. 所属分类:界面编程

    • 发布日期:2024-05-17
    • 文件大小:454656
    • 提供者:miles
  1. ColorDlg-v1.1

    0下载:
  2. 通过实际的代码来说明,怎么样使用对话框来对显示区域的控制等等,还有怎么样使用TIMER计时器。-Through the actual code to illustrate how to use the dialog box to control display area, etc., as well as how to use the timer TIMER.
  3. 所属分类:对话框与窗口

    • 发布日期:2024-05-17
    • 文件大小:60416
    • 提供者:dm
  1. usetimer

    0下载:
  2. 在MFC中使用计时器,显示当前时间.也可以作为计时器用.-The use of timer in the MFC, indicating the current time. Can also be used as a timer.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:1903616
    • 提供者:driver
  1. a8254

    0下载:
  2. 自己编写的8254计数器/计时器,实现了所有的6种模式,和大家一起分享。-I have written 8254 counter/timer, realize all the six kinds of patterns, and the U.S. share.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:4881408
    • 提供者:高超
  1. Clock

    0下载:
  2. 实现MFC不规则对话框,一个漂亮的时钟计时器实例,-The realization of irregular MFC dialog box, and a beautiful example of the clock timer,
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:22528
    • 提供者:fengjian
  1. secondwatch

    0下载:
  2. 一个简单功能的对话框制作的秒表,主要应用MFC的ontimer计时器函数-A simple function of the dialog box produced by a stopwatch, the main applications of the MFC timer function ontimer
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:1926144
    • 提供者:姜明威
  1. 100vhdl

    0下载:
  2. VHDL常用实例,适合初学者,有计时器等常用例子-Common examples of VHDL, suitable for beginners, there are examples of commonly used timer, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:233472
    • 提供者:xuxiaoling
  1. jsq

    0下载:
  2. 本程序为24小时计时器,稳定无误差。简单好用,是Verilog HDL语言初学者的指引。-This procedure for 24-hour timer, stable error-free. Easy-to-use, is the Verilog HDL language beginners guide.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:
  1. Timer

    0下载:
  2. 使用c#写的,计时器程序,演示了timer控件的使用-The use of c# Written timer procedures, demonstrated the use of timer control
  3. 所属分类:C#编程

    • 发布日期:2024-05-17
    • 文件大小:37888
    • 提供者:戴中兴
  1. timer

    0下载:
  2. 一个完整的计时器 ,汇编语言 计时精确到毫秒 ,计时长短也可以在程序里自行修改 -A complete timer assembly language to the millisecond timing precision, timing the length of program can also make its own decisions
  3. 所属分类:汇编语言

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:knkn
  1. ARMLPC2300

    0下载:
  2. 嵌入式软件构件:TMR计时器管理、CLK实时时钟、KEY键盘扫描、LED数码显示、LCD显示、COMM串口通信-Embedded software components: TMR timer management, CLK real-time clock, KEY keyboard scanning, LED digital display, LCD display, COMM Serial Communication
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-17
    • 文件大小:980992
    • 提供者:zz
  1. calculagraph

    0下载:
  2. 作为一个计时器工具,它拥有了计时和倒计时功能,并且在界面图形上做了优化-Timer as a tool, it has a timer and countdown function, and interface graphics are optimized to do
  3. 所属分类:界面编程

    • 发布日期:2024-05-17
    • 文件大小:2816000
    • 提供者:wangsl
  1. TimeCounter

    0下载:
  2. 桌面计时器。vc习作。完成计时和倒计时的功能。而且能够几下多组时间。界面采用了皮肤漂亮华丽。-Desktop timer. vc exercises. The completion of timing and countdown functions. But many groups can a few hours. Interface uses gorgeous beautiful skin.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:626688
    • 提供者:张家华
  1. xlmb

    0下载:
  2. 数码管8位秒表计时器,采用c51语言编写,在51单片机上可以运行-Digital tube 8 stopwatch timer, using C51 languages, in 51 single-chip can run up
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:夏金伟
  1. clock

    0下载:
  2. 多功能计时器,具有校准,显示,可分别多秒,分小时,年,月,日操作和显示-a clock with multiple functions
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:187392
    • 提供者:张立涛
  1. timer

    0下载:
  2. 秒表计时器,具有记时、暂停记时和归零功能。 特别适合作为Flash对象插入到幻灯片中,在幻灯片放映时作为记时定时之用。-Stopwatch timer, has in mind, the suspension of time and return-to-zero function in mind. Particularly suitable as a Flash object into the slide, in slide sho
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:mkw
  1. ThreadClock2005

    0下载:
  2. 线程计时器,单开一个线程处理计时的问题- xian cheng ji shi qi
  3. 所属分类:C#编程

    • 发布日期:2024-05-17
    • 文件大小:62464
    • 提供者:刘涛
  1. jsq

    0下载:
  2. 利用51单片机设计计时器设计源码,数码显示-51 single-chip design using the design source timer, digital display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:王林
  1. calculate

    0下载:
  2. 计时器,是一个高精度计时器的delphi工程文件-Timer, is a high-precision timer delphi project file
  3. 所属分类:Dephi控件源码

    • 发布日期:2024-05-17
    • 文件大小:179200
    • 提供者:duduu
  1. daima

    0下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:SAM
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

源码中国 www.ymcn.org