搜索资源列表

  1. hzy

    0下载:
  2. 计时器,可以有效的记录所要的时间 ,还有显示系统时间。-Timer can be an effective time to be recorded, as well as the time display system.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:吴联恺
  1. jishiqi

    0下载:
  2. 用VC++编写的计时器,感觉很好用,大家可以下来-Written by VC++ timer, feel is useful, we can try down
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:83968
    • 提供者:中尉
  1. clock

    0下载:
  2. 1. 首先建立一个基本对话的exe工程,并命名为time; 2. 在BOOL CTimeDlg::OnInitDialog()函数内建立一个计时器,SetTimer(1,1000,NULL) 3. 再用classwizard建立消息响应函数void CTimeDlg::OnTimer(UINT nIDEvent),在里面输入代码如下:Invalidate() 4. 再用classwizard建立消息响应函数void CT
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-17
    • 文件大小:1911808
    • 提供者:tmacq3
  1. MultiTimerDemo

    0下载:
  2. 各种计时器的比较,研究高精度计时的很好的材料,外加一个C++的Stopwatch-Comparison of the various timers to study the high-precision timing of a very good material, plus a C++, Stopwatch
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:3433472
    • 提供者:chengtong
  1. huibian

    0下载:
  2. 汇编计时器,可以实现自动获取时间,清屏,以及显示年月日-Compilation timer
  3. 所属分类:汇编语言

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:李默
  1. debate

    0下载:
  2. 给一般规则下的辩论赛比赛采用的辩论赛计时器。-To the general debate under the rules of match play tournament timer used in the debate.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:2160640
    • 提供者:yichao
  1. divider

    0下载:
  2. 用VHDL实现了一个计时器,在SPANTAN3E上验证通过-VHDL, implements a timer, in the SPANTAN3E verified by the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:2022400
    • 提供者:zhaocheng
  1. DataStructure_Algorithms

    0下载:
  2. 常用数据结构和算法的实现及测试。 1)数据结构包含:堆栈 队列 线性表 链表 2)算法包括:冒泡排序 选择排序 插入排序 希尔排序 归并排序 快排 堆排序 3)其他包括:随即数的产生 计时器的实现-Common data structures and algorithms implementation and testing. 1) The data structure includes: a linear table li
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-17
    • 文件大小:438272
    • 提供者:songjian
  1. Thread_sample_0

    0下载:
  2. 在控制台中的消息处理,并应用多线程实现的计时器小程序(初学编程)。-In the console message handling, and application of small multi-threaded implementation of the timer program (beginners programming).
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:461824
    • 提供者:liteng
  1. 7

    0下载:
  2. 调用总共四个计数器(两个六进制,两个十进制,六进制计数器可由实验五的程序做简单修改而成)串起来构成异步计数器,计数器的值,通过实验九串行扫描输出。用1Hz连续脉冲作为输入,这样就构成一个简单的1h计时器。带一个清零端。 输入:连续脉冲,逻辑开关;输出:七段LED。 -Called a total of four counters (two six-band, two decimal, hexadecimal counter
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:6144
    • 提供者:李小勇
  1. Clock

    0下载:
  2. 计时器 可用于计时 非常常用Any changes made cards can be good results-Timer is used for timing
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:sunwen
  1. jishiqi

    0下载:
  2. 用汇编语言编写的一个简单计时器,可以实现开始,暂定,继续,停止,复位等功能-Written in assembly language with a simple timer can begin, tentatively, to continue, stop, reset and other functions
  3. 所属分类:汇编语言

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:zhangt
  1. miaobiao

    0下载:
  2. 凌阳单片机SPCEO61A实现的基本的秒表功能,能想裁判使用的那种计时器一样有计时功能。-Sunplus microcontrollers SPCEO61A to achieve the basic stopwatch functions, can be used to judge the kind of timer as a timer function.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:320512
    • 提供者:marithy
  1. Timer

    0下载:
  2. 一款通用型辩论赛计时器软件源码,背景,声音,标题,每节计时长度等内容均可更改-Debate for a general-purpose timer software source code, background, sound, title, length of time the contents of each section may change
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:1788928
    • 提供者:翟宁
  1. Timer_sigtap

    0下载:
  2. 用Verilog HDL语言写一个计时器。其实就是在计数器的时钟输入端输入一个固定频率的时钟-Verilog HDL language used to write a timer. Is actually counter clock input of a fixed frequency clock input
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:2803712
    • 提供者:sunying
  1. program

    0下载:
  2. 1/100s计时器的FPGA实现,本设计的计时器能实现显示最长计时时间为1分59.99秒,且精度大于1/100s,计时器能显示1/100s的时间.-1/100s timer FPGA, the design of the timer to achieve the longest time show time of 1 minutes, 59.99 seconds, and the precision is greater than 1/
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:546816
    • 提供者:sword
  1. compute_time_machine

    0下载:
  2. 利用MATLAB中的stateflow功能,设计一款跑步计时器,对运动员的跑步时间进行计时。(Using stateflow function of MATLAB, design a timer running, running time time of athletes.)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:19456
    • 提供者:mr..right
  1. 多功能秒表计时器

    0下载:
  2. 模拟秒表计时。基于ARM860系统开发的主函数部分。(Analog stopwatch timer. The main function part based on ARM860 system development.)
  3. 所属分类:微处理器(ARM/PowerPC等)

  1. DgtTimer

    0下载:
  2. 用汇编语言编写的单片机数字计时器,包含监控程序。(Written in assembly language microcontroller digital timer, including monitoring procedures.)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:8192
    • 提供者:哗啦啦啦
  1. C++

    0下载:
  2. 计时器+质数判定,MS-DOS窗口,64位可执行文件+源代码(timer+prime number MS-DOS window)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:1409024
    • 提供者:a13521380296
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »

源码中国 www.ymcn.org