搜索资源列表

  1. 0101

    0下载:
  2. Quartus II 除法器,用VHDL语言编写的.除法器。-Divider using VHDL language. Divider
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:129024
    • 提供者:剑锋
  1. simple_divider

    0下载:
  2. 自己写的一个除法器,网上多是同一个 繁杂难看明白 自己就写了个简单的 并且很容易看懂-Write a except time-multiplier, online is a multifarious ugly understand oneself write a simple and easy to understand
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:409600
    • 提供者:阿杜
  1. diver

    0下载:
  2. 用VHDL语言产生一个5位数除法器,电子课程设计题目之一-VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:565248
    • 提供者:笨蛋
  1. divider

    0下载:
  2. 用VERILOG实现一个被除数为8位、除数为4位的高效除法器-With VERILOG implement a dividend for the 8-bit, 4-bit effective divisor divider
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:xiaobai
  1. VHDL

    0下载:
  2. 除法器 4位除法器 可以编程实现 有启发意义-4-bit divider divider can be programmed instructive
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:51200
    • 提供者:guoyishi
  1. VerilogSourceCode

    0下载:
  2. 乘法器、除法器、多路选择器、编码器、BCD码转换、加法器、减法器、状态机、四位比较器、数码管、串口、跑马灯、电子钟-Multiplier, divider, multiplexer, encoder, BCD code converter, adder, subtractor, state machines, four more players, digital control, serial port, marquees, elect
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:2050048
    • 提供者:zhaozhifang
  1. chufaqi

    0下载:
  2. 四位除法器:使用vhd实现四位的有符号除法器-Four division: Use vhd signed to achieve four of the divider
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:GOODSS
  1. vhdl_123

    0下载:
  2. 几个简单的vhdl程序。包括加法器,减法器,乘除法等等。-A few simple vhdl program. Including the adder, subtractor, multiplication and division and so on.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:4390912
    • 提供者:fugen
  1. zzchufaqi

    0下载:
  2. vhdl 除法器 eda课程设计用。 设计一个两个五位数相除的整数除法器。用发光二极管显示输入数值,用7段显示器显示结果十进制结果。除数和被除数分两次输入,在输入除数和被除数时,要求显示十进制输入数据。采用分时显示方式进行,可参见计算器的显示功能。-divider vhdl eda curriculum design purposes. Design a two five-digit integer divider division
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:522240
    • 提供者:
  1. hightfrquencydivider

    0下载:
  2. 用VerilogHDL语言实现一个被除数为8位,除数为4为的高效除法器,实现高效的除法功能-VerilogHDL language with a dividend of 8 bits, the divisor is 4 for the high divider, a high efficiency of the division function
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:张茂磊
  1. shiyanbaogao

    0下载:
  2. 了解ISE平台的基本环境,编译程序,在MC8051 IP核中,要求实现:增加PLL锁相环,扩大内部RAM,定时器,串口和外部中断等资源,并增加乘法器和除法器的功能。-ISE platform to understand the basic environment, compiler, the MC8051 IP core, the requirement to achieve: increased PLL phase-locked lo
  3. 所属分类:串口编程

    • 发布日期:2024-05-21
    • 文件大小:149504
    • 提供者:liujia
  1. divider16

    0下载:
  2. 16位小数除法器verilog源码,可综合的,已经仿真过。-16bit fractional numeral divider verilog source
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:maxwellqq
  1. Hardware_Multiplier

    0下载:
  2. 用VHDL写的硬件乘法器,以及测试过了,一个时钟周期内完成乘法运算。被乘数、乘数的宽度通过通用属性GENERIC参数改变而轻松改变,硬件除法器也快好了。-Written by VHDL hardware multiplier, and tested, and a clock cycle multiplication. Multiplicand, multiplier width parameter changes through the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:周磊
  1. c

    0下载:
  2. 除法器 课程设计 汇编语言 不恢复余数法-Divider assembly language course design
  3. 所属分类:汇编语言

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:小樱
  1. vhdlchufaqi

    0下载:
  2. 这是一个基于VHDL语言的bch除法器,其功能就是实现二进制除法,采用移位的方式进行-This is based on VHDL language bch divider, its function is to achieve binary division, the way by shift
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:刘某
  1. chufaqi

    0下载:
  2. 除法器程序,采用Verilog语言编写,并在CPLD开发板上经过验证,正确无误,希望对大家有用-Divider procedure for the Verilog language, and CPLD development board verified and correct, we hope to be useful
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:172032
    • 提供者:wanghong
  1. div_32bits

    0下载:
  2. 以ISE为平台,VHDL语言编写的32位补码整数除法器模块,只需在Top模块中调用即可-As a platform to ISE, VHDL language complement 32-bit integer division module, simply call the module to Top
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:熊思源
  1. verilog

    0下载:
  2. 這是一個除法器演算法,是利用移位的方式進行除法運算-This is a divider algorithm is the use of division shift the way
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:李家緯
  1. 12

    0下载:
  2. 4位除法器 library IEEE use IEEE.std_logic_1164.all use IEEE.std_logic_unsigned.all entity fpdiv is port ( DIVz: out STD_LOGIC A: in STD_LOGIC_VECTOR (3 downto 0) B: in STD_LOGIC_VECTOR (3 downt
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:陈强
  1. dcf089f8-85a5-44b9-98d9-e667ba564784

    0下载:
  2. 除法器能够做除法运算能够做除法运算 除法器能够做除法运算能够做除法运算-Divider can do can do division division
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:zz
« 1 2 3 4 5 6 7 89 10 11 12 13 14 »

源码中国 www.ymcn.org