文件名称:quartus

  • 所属分类:
  • 嵌入式/单片机编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 10.04mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • liuho*****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

(系统自动生成,下载前可以参看下载内容)

下载文件列表

quartus工程

...........\vga_ram_2

...........\.........\altpllpll_0.bsf

...........\.........\altpllpll_0.v



...........\.........\altpllpll_0_waveforms.html

...........\.........\bht_ram.mif

...........\.........\Block1.bdf

...........\.........\button_pio.v

...........\.........\clock_0.v

...........\.........\clock_1.v

...........\.........\cpu_0.ocp

...........\.........\cpu_0.v

...........\.........\cpu_0_jtag_debug_module.v

...........\.........\cpu_0_jtag_debug_module_wrapper.v

...........\.........\cpu_0_mult_cell.v

...........\.........\cpu_0_ociram_default_contents.mif

...........\.........\cpu_0_test_bench.v

...........\.........\db

...........\.........\..\add_sub_b7c.tdf

...........\.........\..\add_sub_c7c.tdf

...........\.........\..\altsyncram_0kp.tdf

...........\.........\..\altsyncram_1sq1.tdf

...........\.........\..\altsyncram_5ms.tdf

...........\.........\..\altsyncram_87r1.tdf

...........\.........\..\altsyncram_d1r1.tdf

...........\.........\..\altsyncram_f9c1.tdf

...........\.........\..\altsyncram_ii51.tdf

...........\.........\..\altsyncram_ki51.tdf

...........\.........\..\altsyncram_kk61.tdf

...........\.........\..\altsyncram_mi51.tdf

...........\.........\..\altsyncram_n071.tdf

...........\.........\..\altsyncram_prq1.tdf

...........\.........\..\altsyncram_q1r1.tdf

...........\.........\..\altsyncram_s202.tdf

...........\.........\..\altsyncram_sjp.tdf

...........\.........\..\altsyncram_sr41.tdf

...........\.........\..\altsyncram_toc1.tdf

...........\.........\..\altsyncram_u2p1.tdf

...........\.........\..\altsyncram_u5e1.tdf

...........\.........\..\altsyncram_ujp.tdf

...........\.........\..\altsyncram_um61.tdf

...........\.........\..\altsyncram_v071.tdf

...........\.........\..\altsyncram_vm61.tdf

...........\.........\..\alt_synch_pipe_hv7.tdf

...........\.........\..\alt_synch_pipe_iv7.tdf

...........\.........\..\alt_synch_pipe_jv7.tdf

...........\.........\..\alt_synch_pipe_kv7.tdf

...........\.........\..\alt_synch_pipe_lv7.tdf

...........\.........\..\alt_synch_pipe_mv7.tdf

...........\.........\..\a_dpfifo_qap.tdf

...........\.........\..\a_fefifo_7cf.tdf

...........\.........\..\a_gray2bin_ldb.tdf

...........\.........\..\a_gray2bin_mdb.tdf

...........\.........\..\a_graycounter_ik6.tdf

...........\.........\..\a_graycounter_jk6.tdf

...........\.........\..\a_graycounter_p96.tdf

...........\.........\..\a_graycounter_q96.tdf

...........\.........\..\cntr_dl8.tdf

...........\.........\..\cntr_rj7.tdf

...........\.........\..\dcfifo_gi41.tdf

...........\.........\..\dcfifo_ii41.tdf

...........\.........\..\dcfifo_mi41.tdf

...........\.........\..\DE2_TOP.db_info

...........\.........\..\DE2_TOP.eco.cdb

...........\.........\..\DE2_TOP.sld_design_entry.sci

...........\.........\..\decode_rpe.tdf

...........\.........\..\ded_mult_2o81.tdf

...........\.........\..\dffpipe_93c.tdf

...........\.........\..\dffpipe_a09.tdf

...........\.........\..\dffpipe_b09.tdf

...........\.........\..\dffpipe_c09.tdf

...........\.........\..\dffpipe_d09.tdf

...........\.........\..\dffpipe_e09.tdf

...........\.........\..\dffpipe_f09.tdf

...........\.........\..\dffpipe_g09.tdf

...........\.........\..\dffpipe_h09.tdf

...........\.........\..\dpram_pcp.tdf

...........\.........\..\mult_add_4cr2.tdf

...........\.........\..\mult_add_6cr2.tdf

...........\.........\..\scfifo_j4p.tdf

...........\.........\dc_tag_ram.mif

...........\.........\DE2_TOP.asm.rpt

...........\.........\DE2_TOP.cdf

...........\.........\DE2_TOP.done

...........\.........\DE2_TOP.fit.eqn

...........\.........\DE2_TOP.fit.rpt

...........\.........\DE2_TOP.fit.summary

...........\.........\DE2_TOP.flow.rpt

...........\.........\DE2_TOP.map.eqn

...........\.........\DE2_TOP.map.rpt

...........\.........\DE2_TOP.map.smsg

...........\.........\DE2_TOP.map.summary

...........\.........\DE2_TOP.pin

...........\.........\DE2_TOP.pof

...........\.........\DE2_TOP.qpf

...........\.........\DE2_TOP.qsf

...........\.........\DE2_TOP.qws

...........\.........\DE2_TOP.sof

...........\.........\DE2_TOP.tan.rpt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org