文件名称:01.ISE8.2

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Matlab] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 13.47mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 肖**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

这个是我用的合众达试验箱里面的资料。合众达试验箱里面集成的是xilinx的virtex4,这个是在ise环境中审计的程序,包括led,da/ad转换实验,键盘实验,以及rtc读取和lcd显示等。-vhdl programs that used by xilinx virtex4
(系统自动生成,下载前可以参看下载内容)

下载文件列表

01.ISE8.2

.........\KCPSM3

.........\......\Assembler

.........\......\.........\CONSTANT.TXT

.........\......\.........\INT_TEST.COE

.........\......\.........\INT_TEST.DEC

.........\......\.........\INT_TEST.FMT

.........\......\.........\INT_TEST.HEX

.........\......\.........\INT_TEST.LOG

.........\......\.........\INT_TEST.M

.........\......\.........\int_test.psm

.........\......\.........\INT_TEST.V

.........\......\.........\INT_TEST.VHD

.........\......\.........\KCPSM3.EXE

.........\......\.........\LABELS.TXT

.........\......\.........\PASS1.DAT

.........\......\.........\PASS2.DAT

.........\......\.........\PASS3.DAT

.........\......\.........\PASS4.DAT

.........\......\.........\PASS5.DAT

.........\......\.........\ROM_form.coe

.........\......\.........\ROM_form.v

.........\......\.........\ROM_form.vhd

.........\......\.........\uclock.psm

.........\......\Docs

.........\......\....\KCPSM3_Manual.pdf

.........\......\....\UART_Manual.pdf

.........\......\....\UART_real_time_clock.pdf

.........\......\....\ug129.pdf

.........\......\kcpsm3.ngc

.........\......\read_me.txt

.........\......\VHDL

.........\......\....\bbfifo_16x8.vhd

.........\......\....\embedded_kcpsm3.vhd

.........\......\....\kcpsm3.vhd

.........\......\....\kcpsm3_int_test.vhd

.........\......\....\kcuart_rx.vhd

.........\......\....\kcuart_tx.vhd

.........\......\....\test_bench.vhd

.........\......\....\uart_clock.vhd

.........\......\....\uart_rx.vhd

.........\......\....\uart_tx.vhd

.........\xdtkv4

.........\......\labs

.........\......\....\vhdl

.........\......\....\....\lab1

.........\......\....\....\lab2

.........\......\....\....\....\arwz_pace.dhp

.........\......\....\....\....\arwz_pace.ise

.........\......\....\....\....\arwz_pace.ise.old

.........\......\....\....\....\arwz_pace.ise_8.1i_backup

.........\......\....\....\....\arwz_pace.ise_ISE_Backup

.........\......\....\....\....\bbfifo_16x8.vhd

.........\......\....\....\....\kcpsm3.vhd

.........\......\....\....\....\kcuart_rx.vhd

.........\......\....\....\....\kcuart_tx.vhd

.........\......\....\....\....\my_dcm.vhd

.........\......\....\....\....\my_dcm.xaw

.........\......\....\....\....\my_dcm_arwz.ucf

.........\......\....\....\....\uart_clock.cmd_log

.........\......\....\....\....\uart_clock.lso

.........\......\....\....\....\uart_clock.prj

.........\......\....\....\....\uart_clock.syr

.........\......\....\....\....\uart_clock.vhd

.........\......\....\....\....\uart_clock.xst

.........\......\....\....\....\uart_clock_summary.html

.........\......\....\....\....\uart_clock_vhdl.prj

.........\......\....\....\....\uart_rx.vhd

.........\......\....\....\....\uart_tx.vhd

.........\......\....\....\....\UCLOCK.VHD

.........\......\....\....\....\xaw2vhdl.log

.........\......\....\....\....\xst

.........\......\....\....\....\...\projnav.tmp

.........\......\....\....\....\...\work

.........\......\....\....\....\...\....\hdllib.ref

.........\......\....\....\....\...\....\hdpdeps.ref

.........\......\....\....\....\...\....\sub00

.........\......\....\....\....\...\....\.....\vhpl00.vho

.........\......\....\....\....\...\....\.....\vhpl01.vho

.........\......\....\....\....\...\....\.....\vhpl02.vho

.........\......\....\....\....\...\....\.....\vhpl03.vho

.........\......\....\....\....\...\....\.....\vhpl04.vho

.........\......\....\....\....\...\....\.....\vhpl05.vho

.........\......\....\....\....\...\....\.....\vhpl06.vho

.........\......\....\....\....\...\....\.....\vhpl07.vho

.........\......\....\....\....\...\....\.....\vhpl08.vho

.........\......\....\....\....\...\....\.....\vhpl09.vho

.........\......\....\....\....\...\....\.....\vhpl10.vho

.........\......\....\....\....\...\....\.....\vhpl11.vho

.........\......\....\....\....\...\....\.....\vhpl12.vho

.........\......\....\....\....\...\....\.....\vhpl13.vho

.........\......\....\....\....\...\....\.....\vhpl14.vho

.........\......\....\....\....\_xmsgs

.........\......\....\....\....\......\xst.xmsgs

.........\......\....\....\lab3

.........\......\....\....\....\Assembler

.........\......\..

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org