文件名称:synth_fft

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 55kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • z**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

fftprocessing can complete 256 pointsFFT.-Hardware Descr iption Language(HDL)is an advanced electronic designmethod.After HDL was put into use,it has draw great attention and gained popularity.The design used Verilog HDL and Schematic for entry tools having good effect in the system design,Meanwhile,it adopted the core provided by Xilinx/nc. improving the design efficiency.The whole design which is implemented inXC2S600E device relied on ISE and advanced hierarchy design mind.Furthermore,it is simulated and verified.The frequency attains to 40.64MHz.this paper aims at demonstration the applying FPGA to FFT signal processing can complete 256 pointsFFT.
相关搜索: fft
verilog
xilinx
fft
hdl

(系统自动生成,下载前可以参看下载内容)

下载文件列表

synth_fft\and_gates.vhd

.........\baseindex.vhd

.........\but.vhd

.........\butter_lib.vhd

.........\comm.txt

.........\control2.vhd

.........\controller.vhd

.........\counter.vhd

.........\cycles_but.vhd

.........\dff.vhd

.........\divide.vhd

.........\FLOAT2.PIF

.........\FLOAT_RE.TXT

.........\IEEE_TO_.PIF

.........\ioadd.vhd

.........\iod_staged.vhd

.........\lblock.vhd

.........\mult.vhd

.........\multiply.vhd

.........\mux_add.vhd

.........\mux_but.vhd

.........\negate.vhd

.........\normalize.vhd

.........\out_result.vhd

.........\print.vhd

.........\ram.vhd

.........\ram_shift.vhd

.........\rblock.vhd

.........\result.txt

.........\rom.vhd

.........\romadd_gen.vhd

.........\rom_ram.vhd

.........\shift2.vhd

.........\simili.lst

.........\stage.vhd

.........\subtractor.vhd

.........\summer.vhd

.........\swap.vhd

.........\synth_main.vhd

.........\synth_test.vhd

.........\......fft\and_gates.vhd

.........\.........\baseindex.vhd

.........\.........\but.vhd

.........\.........\butter_lib.vhd

.........\.........\comm.txt

.........\.........\control2.vhd

.........\.........\controller.vhd

.........\.........\counter.vhd

.........\.........\cycles_but.vhd

.........\.........\dff.vhd

.........\.........\divide.vhd

.........\.........\FLOAT2.PIF

.........\.........\FLOAT_RE.TXT

.........\.........\IEEE_TO_.PIF

.........\.........\ioadd.vhd

.........\.........\iod_staged.vhd

.........\.........\lblock.vhd

.........\.........\mult.vhd

.........\.........\multiply.vhd

.........\.........\mux_add.vhd

.........\.........\mux_but.vhd

.........\.........\negate.vhd

.........\.........\normalize.vhd

.........\.........\out_result.vhd

.........\.........\print.vhd

.........\.........\ram.vhd

.........\.........\ram_shift.vhd

.........\.........\rblock.vhd

.........\.........\result.txt

.........\.........\rom.vhd

.........\.........\romadd_gen.vhd

.........\.........\rom_ram.vhd

.........\.........\shift2.vhd

.........\.........\simili.lst

.........\.........\stage.vhd

.........\.........\subtractor.vhd

.........\.........\summer.vhd

.........\.........\swap.vhd

.........\.........\synth_main.vhd

.........\.........\synth_test.vhd

.........\synth_fft

synth_fft

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org