文件名称:firtest2015.2

  • 所属分类:
  • 数据结构常用算法
  • 资源属性:
  • [Linux] [SHELL] [源码]
  • 上传时间:
  • 2016-08-17
  • 文件大小:
  • 31.06mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • y**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

vivado fir 测试工程,dds chansheng shuju ranhou gei fir -vivado fir
(系统自动生成,下载前可以参看下载内容)

下载文件列表





firtest2015.2

.............\.Xil

.............\20M_256_47.coe

.............\20M_256_49.coe

.............\20M_256_51.coe

.............\20Mfir.coe

.............\firtest.cache

.............\.............\compile_simlib

.............\.............\wt

.............\.............\..\java_command_handlers.wdf

.............\.............\..\synthesis.wdf

.............\.............\..\synthesis_details.wdf

.............\.............\..\webtalk_pa.xml

.............\.............\..\xsim.wdf

.............\firtest.hw

.............\..........\firtest.lpr

.............\firtest.runs

.............\............\.jobs

.............\............\.....\vrs_config_1.xml

.............\............\.....\vrs_config_10.xml

.............\............\.....\vrs_config_11.xml

.............\............\.....\vrs_config_12.xml

.............\............\.....\vrs_config_13.xml

.............\............\.....\vrs_config_14.xml

.............\............\.....\vrs_config_15.xml

.............\............\.....\vrs_config_2.xml

.............\............\.....\vrs_config_3.xml

.............\............\.....\vrs_config_4.xml

.............\............\.....\vrs_config_5.xml

.............\............\.....\vrs_config_6.xml

.............\............\.....\vrs_config_7.xml

.............\............\.....\vrs_config_8.xml

.............\............\.....\vrs_config_9.xml

.............\............\c_addsub_0_synth_1

.............\............\..................\.Vivado_Synthesis.queue.rst

.............\............\..................\.Xil

.............\............\..................\.vivado.begin.rst

.............\............\..................\.vivado.end.rst

.............\............\..................\ISEWrap.js

.............\............\..................\ISEWrap.sh

.............\............\..................\c_addsub_0.dcp

.............\............\..................\c_addsub_0.tcl

.............\............\..................\c_addsub_0.vds

.............\............\..................\c_addsub_0_utilization_synth.pb

.............\............\..................\c_addsub_0_utilization_synth.rpt

.............\............\..................\dont_touch.xdc

.............\............\..................\gen_run.xml

.............\............\..................\htr.txt

.............\............\..................\project.wdf

.............\............\..................\rundef.js

.............\............\..................\runme.bat

.............\............\..................\runme.log

.............\............\..................\runme.sh

.............\............\..................\vivado.jou

.............\............\..................\vivado.pb

.............\............\dds_1_synth_1

.............\............\.............\.Vivado_Synthesis.queue.rst

.............\............\.............\.Xil

.............\............\.............\.vivado.begin.rst

.............\............\.............\.vivado.end.rst

.............\............\.............\ISEWrap.js

.............\............\.............\ISEWrap.sh

.............\............\.............\dds_1.dcp

.............\............\.............\dds_1.tcl

.............\............\.............\dds_1.vds

.............\............\.............\dds_1_utilization_synth.pb

.............\............\.............\dds_1_utilization_synth.rpt

.............\............\.............\dont_touch.xdc

.............\............\.............\gen_run.xml

.............\............\.............\htr.txt

.............\............\.............\project.wdf

.............\............\.............\rundef.js

.............\............\.............\runme.bat

.............\............\.............\runme.log

.............\............\.............\runme.sh

.............\............\.............\vivado.jou

.............\............\.............\vivado.pb

.............\............\dds_2_synth_1

.............\............\.............\.Vivado_Synthesis.queue.rst

.............\............\.............\.Xil

.............\............\.............\.vivado.begin.rst

..........

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org