搜索资源列表

  1. 16×4bitFIFO

    0下载:
  2. 16×4bit的FIFO设计,VHDL语言编的的,能在ISE上仿真出来结果。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4491
    • 提供者:张军
  1. 32×4bitRAM

    0下载:
  2. 32×4bit 的RAM设计。VHD语言。能在ISE上仿真。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3635
    • 提供者:张军
  1. 4bit.elock

    0下载:
  2. 4位电子密码锁,有详细的步骤说明,有功能仿真图,值得一看
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:459043
    • 提供者:李里
  1. alu

    0下载:
  2. 4bit ALU(运算逻辑单元)的设计 给出了此次设计alu的输入输出结构及相应的位数。其中C0是一位的进位输入,A和B分别是4位的数据输入,S0、S1、M分别为一位的功能选择输入信号;Cout是一位的进位输出,F是4为的运算结果输出。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1597
    • 提供者:chenyi
  1. LCD1602-4BIT

    0下载:
  2. 液晶 LCM 1602 的四位并口驱动源程序
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:14914
    • 提供者:王金龙
  1. rs_decoder_31_19_6.tar

    0下载:
  2. Solomn symbol 32bit, 4bit correct, VHDL file format
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:14269
    • 提供者:Joe Liang
  1. HTADPCM4

    0下载:
  2. 对16bit的WindowPCM的语音文件进行压缩为4BIT的文件,压缩率约为75%,可大幅缩减所占用的空间.
  3. 所属分类:Audio

    • 发布日期:2008-10-13
    • 文件大小:30935
    • 提供者:cosmic
  1. fulladder4

    0下载:
  2. 4bit full adder
  3. 所属分类:VHDL编程

  1. VHDL的基本数学运算库

    0下载:
  2. VHDL的基本数学运算库,非常好用-VHDL basic arithmetic library, a very handy! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:232448
    • 提供者:
  1. alu_inverter

    0下载:
  2. 4bit ALU 利用vhdl语言编写的4位ALU 开发环境是在windows下-Band ALU using VHDL language prepared by the four ALU is a development environment under Windows
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:18432
    • 提供者:bob
  1. ChangeColorDepthCode

    1下载:
  2. 将24bit图像变换为8bit 4bit 1bit图像源码,并提供测试程序。-will 24bit to 8bit Image Transform Image 4bit 1bit source, and to provide the test.
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-22
    • 文件大小:45056
    • 提供者:黄立波
  1. basedchannelcap1e-4bit

    0下载:
  2. ofdm系统中基于信道容量功率自适应分配算法研究的matlab源代码-ofdm system based on the channel capacity of Adaptive Power Allocation for the Matlab source code
  3. 所属分类:matlab例程

    • 发布日期:2024-05-22
    • 文件大小:3072
    • 提供者:wenjuner
  1. SinoWealth_4bit_MCU_application_guide(200707)

    0下载:
  2. SINOWEALTH中颖4BIT单片机原理及应用,哈哈不错,相当多的例子程序代码.-4bit single-chip SINOWEALTH SinoWealth Principle and Application, ha ha Yes, a considerable number of examples of program code.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:2405376
    • 提供者:倪贵德
  1. mimasuo2

    0下载:
  2. VHDL的课程设计,密码锁,去年我们课程设计我的程序,很好的程序,请验收。可以做VHDL课程设计用 去年我的开发环境是 max.pulls2-VHDL curriculum design, password lock, last year my curriculum design process, a very good program, acceptance. VHDL course design can be done with l
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:561152
    • 提供者:likunzhou
  1. bch_4148_4096_9_v100

    0下载:
  2. 对512Bytes的数据纠正4bit,用于MLC NAND FLASH的数据校验与恢复。-512Bytes data to correct 4bit, for MLC NAND FLASH data validation and recovery.
  3. 所属分类:Windows CE

    • 发布日期:2024-05-22
    • 文件大小:8192
    • 提供者:qiandejun
  1. nandflash

    0下载:
  2. 镁光的ECC编码方案和解码方案,适用于MLC类型NANDFLASH,4bit纠错能力,里面还包括市面上难找的三星一款MLC类型NANDFLASHdatasheet作为参照-Micron s ECC coding schemes and decoding programs, apply to MLC type NANDFLASH, 4bit error correction ability, which also includes the
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-22
    • 文件大小:965632
    • 提供者:tandan
  1. ADPCM

    0下载:
  2. ADPCM(Adaptive Differential Pulse Code Modulation),是一种针对 16bits( 或8bits或者更高) 声音波形数据的一种有损压缩算法,它将声音流中每次采样的 16bit 数据以 4bit 存储,所以压缩比 1:4. 而且压缩/解压缩算法非常简单,所以是一种低空间消耗,高质量高效率声音获得的好途径。保存声音的数据文件后缀名为 .AUD 的大多用ADPCM 压缩。-ADPCM (Adapt
  3. 所属分类:压缩解压

    • 发布日期:2024-05-22
    • 文件大小:2048
    • 提供者:王磊
  1. LCD-driver-4bit

    0下载:
  2. LCD1062的显示驱动,是4线并行显示-The LCD1062 display driver is a 4-wire parallel display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:1024
    • 提供者:马亮
  1. add2

    0下载:
  2. 两个4bit超前进位加法器实现8bit加法器-Two 4bit CLA realize 8bit adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:152576
    • 提供者:徐芬
  1. 16×4bitFIFO

    0下载:
  2. 16×4bit的FIFO设计,VHDL语言编的的,能在ISE上仿真出来结果。-16 × 4bit the FIFO design, VHDL language series that can come out in the ISE on the simulation results.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:4096
    • 提供者:张军
« 1 2 34 5 6 7 8 9 10 »

源码中国 www.ymcn.org