搜索资源列表

  1. CPU

    0下载:
  2. 用VC++模拟单周期cpu,是体系结构课程的一次作业,包括硬件设计,指令设计等,仅十几条汇编指令啦,程序还支持堆栈操作,能进行算术运算,输入运算表达式就能自动生成汇编代码,代码装载后可以调试运行,支持单步和全速运行-Using VC++ simulation of single-cycle cpu, is a one-stop course architecture, including hardware design, instruc
  3. 所属分类:系统编程

  1. cpudesign

    0下载:
  2. Risc 32位CPU设计方法,由牛人主讲,可以好好学习-Risc 32 Wei CPU design methodology, from the cattle were speakers, you can learn
  3. 所属分类:软件工程

    • 发布日期:2024-05-21
    • 文件大小:292864
    • 提供者:孟天
  1. cpu

    0下载:
  2. 用全加器设计8位运算器逻辑电路图 2、根据逻辑电路用 VHDL编程实现 3、调试编译通过后,仿真 -this file can help you learn the design of cpu
  3. 所属分类:matlab例程

    • 发布日期:2024-05-21
    • 文件大小:247808
    • 提供者:linxiaoxuan
  1. RISCCPU

    0下载:
  2. 简单的CPU设计流程PPT,用于教学目的,可综合的verilog HDL设计。-A simple CPU design process PPT, for teaching purposes, can be integrated verilog HDL design.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-21
    • 文件大小:156672
    • 提供者:柳泽明
  1. CPU

    0下载:
  2. 简单的cpu设计 实现简单功能 使用vhdl语言做的-vhdl cpu design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1553408
    • 提供者:李志
  1. CPU

    0下载:
  2. 用VHDL编的简易16位和8位CPU,可完成加减乘法移位等功能,拥有源码和设计文档,资料齐全-Compiled with VHDL simple 16-bit and 8-bit CPU, to be completed by addition and subtraction multiplication shift functions, with source code and design documents, data and c
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1489920
    • 提供者:雄鹰
  1. CPUdesign

    0下载:
  2. 计算机组成原理实验多时钟周期CPU设计,包含VHDL代码的设计,实验电路图,实验详细截图。-Computer component experiments designed more CPU clock cycles, including VHDL code design, test circuit, test detailed screenshots.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:12422144
    • 提供者:longfu
  1. risc1200

    0下载:
  2. risc cpu设计源码,全部资料 欢迎下载-risc cpu core
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:989184
    • 提供者:yzhang
  1. FPGA-cpu

    0下载:
  2. 基于FPGA的简易处理器设计2010/05/04-A simple FPGA-based processor design 2010/05/04
  3. 所属分类:软件工程

    • 发布日期:2024-05-21
    • 文件大小:98304
    • 提供者:阿锦
  1. cpu

    0下载:
  2. 设计以及基本的CPU,至少包括四个基本单元,控制单元,内部寄存器,ALU和指令集-The purpose of this project is to design a simple CPU (Central Processing Unit). This CPU has basic instruction set, and we will utilize its instruction set to generate a very sim
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:2196480
    • 提供者:mollyma
  1. CPU

    0下载:
  2. 利用VHDL语言 开发设计一个小型CPU -Development and design using VHDL, a small CPU
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-21
    • 文件大小:201728
    • 提供者:隐士
  1. KD-CPU

    0下载:
  2. 计算机原理课程设计给予Verilog做的课题,丰富的指令支持,LOOP,TRAP、以及子程序调用等-Principles of curriculum design to do the computer issues a rich instruction support, LOOP, TRAP, and subroutine calls, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:503808
    • 提供者:张鸿云
  1. CPU_data_path_design_is_very_simple

    0下载:
  2. 居于硬件描述语言的简单CPU设计,能够实现比较简单的数据传送处理功能,虽然功能简单,但只要搞懂了其中原理,对于大的系统就能够有依葫芦画瓢的强大效果。-Living in a simple CPU hardware descr iption language design, to achieve relatively simple data transfer processing functions, although the funct
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:107520
    • 提供者:龙王
  1. cpu

    0下载:
  2. 16位的CPU的VHDL程序~~还有附加的生成波形,可以应用于课程设计中-16-bit CPU, VHDL ~ ~ There are additional procedures for generating waveforms, can be applied to curriculum design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1053696
    • 提供者:liuying
  1. CPU

    0下载:
  2. 一个多周期CPU的完整设计,quartus平台,Verilog实现,内含实验报告,和详细的各模块功能表-Complete a multi-cycle CPU design, quartus platform, Verilog implementation, includes lab reports, and a detailed menu of each module
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:6606848
    • 提供者:
  1. danzhouqiCPU

    0下载:
  2. VHDL单周期CPU设计,基于Quartus II 开发平台-VHDL single-cycle CPU design, Quartus II development platform based on
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1587200
    • 提供者:逆天之刃
  1. cpu

    0下载:
  2. 8位CISC模型计算机设计,包括加减法存储输出的运算-8-bit CISC model of computer design, including the addition and subtraction operations stored output
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:584704
    • 提供者:李萌
  1. CPU

    0下载:
  2. 多周期CPU设计,使用Verilog HDL语言编程,实现MIPS的指令系统。-CPU design with verilog hdl language.Instructions from MIPS.Something in detial is not perfect.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:5579776
    • 提供者:Po
  1. cpu

    0下载:
  2. 组成原理实验~简单cpu的设计~基于EDA环境下的-Composition Theory Experiment Design ~ ~ Simple cpu EDA environment based on
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:724992
    • 提供者:lynn
  1. cpu

    0下载:
  2. 设计一个简化的处理器(字长8位),并使其与内存MEM连接,协调工作。用VHDL以RTL风格描述。该处理器当前执行的指令存放在指令寄存器IR中。处理器的指令仅算逻指令和访问内存指令)。-Design a simplified processor (8-bit word length), and connect it with the memory MEM, and coordination. Described with VHDL in
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:4740096
    • 提供者:jinxf
« 1 2 3 4 56 7 8 9 10 ... 48 »

源码中国 www.ymcn.org