搜索资源列表

  1. 9850

    0下载:
  2. KeilC51编程,51与DDS芯片 AD9850的接口程序.包括对DDS的初始化以及输出控制.-KeilC51 programming, and 51 AD9850 interface program. DDS including initialization and output control.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:21504
    • 提供者:hamlemon
  1. 100R-Manual

    0下载:
  2. 这是关于门禁控制器的一个很好的说明,用的是DDS,大家可以参考看看。-Access Controller on a good note, using the DDS, we can look at the reference.
  3. 所属分类:电子书籍

    • 发布日期:2024-05-17
    • 文件大小:984064
    • 提供者:dkp
  1. dds_vhdl

    0下载:
  2. dds的vhdl实现,主要包括正弦波、三角波和锯齿波的产生-dds achieve the VHDL, including sine, triangle wave, and the selection ramp
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:xxx
  1. ddsVHDL

    0下载:
  2. 基于VHDL的DDS设计,在QUTURS2zhon仿真通过-based on the DDS VHDL design and simulation through the QUTURS2zhon
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:97280
    • 提供者:wl
  1. AD9851_Driver

    0下载:
  2. AD公司的DDS芯片AD9851的驱动,为凌阳61板开发的-AD chip AD9851 DDS drives, Sunplus development of the 61 board
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:35840
    • 提供者:郭盖
  1. ddfs

    0下载:
  2. 我自己用vhdl实现编的dds,能实现正弦波,方波,三角波。-my own use VHDL to achieve series dds, able sine, square, triangle wave.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:87040
    • 提供者:黎明
  1. AD9850_DDS_89C51

    0下载:
  2. 8051控制的DDS信号发生器AD8950(KEIL C)-8051 control AD8950 DDS signal generator (KEIL C)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:黄阳
  1. AD9833_89C4051

    0下载:
  2. 89c2051控制的DDS信号发生芯片AD98-89c2051 control of the DDS signal generator chip AD98
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:黄阳
  1. dds_with_lcd

    0下载:
  2. 此为一利用单片机编写的DDS程序,并且有液晶显示。其中的lcdhead.h中包含利用128*64的液晶显示的头文件,非常好用。-this as a preparation using the DDS SCM procedures, and a liquid crystal display. The lcdhead.h included the use of 128* 64 LCD in the first document, very
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:4096
    • 提供者:万广鲁
  1. DDS_VERILOG

    0下载:
  2. 本例给出了DDS的VERIOG的程序事例,可发生正弦\余弦等波形,适应与通信方面的硬件实现!-the cases presented DDS VERIOG procedures example, can occur sine \ cosine wave such as, Adaptation and communications hardware realization!
  3. 所属分类:通讯编程

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:陈榧
  1. DDS1

    0下载:
  2. dds技术的精华,希望有需要的人可以看到并利用哦,真实是用心良苦啊,电子技术的核心-dds technology, the essence and hope that people in need can see and use, oh, ah real good intentions, the core of electronic technology
  3. 所属分类:编程文档

    • 发布日期:2024-05-17
    • 文件大小:185344
    • 提供者:薛旭东
  1. DDS4

    0下载:
  2. 继续发关于DDS的文档,可以在多篇比较之下看出真正的核心是什么,我觉得这样更好-to the DDS on the documents, in more than to see the real comparison is the core of what I think this better
  3. 所属分类:通讯编程

    • 发布日期:2024-05-17
    • 文件大小:151552
    • 提供者:薛旭东
  1. DDS10

    0下载:
  2. 继续发关于DDS的文档,可以在多篇比较之下看出真正的核心是什么,我觉得这样更好,如果需要其他的我还有,可以联系-to the DDS on the documents, in more than to see the real comparison is the core of what I think this better, if I need to have other, can be linked
  3. 所属分类:通讯编程

    • 发布日期:2024-05-17
    • 文件大小:109568
    • 提供者:薛旭东
  1. DDFSYINGYONG

    0下载:
  2. 文章介绍了DDS的基本原理,及DDS芯片AD9835的应用-article describes the basic tenets of the DDS, and the AD9835 DDS chip Application
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:200704
    • 提供者:电信科学
  1. DDSsignalgen

    0下载:
  2. dds信号发生器-dds signal generator ask, am, fskdds signal generator ask, am, fskdds signal generator ask, am, fskdds signal generator ask, am, FSK
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:3331072
    • 提供者:appolo
  1. dds_quicklogic

    1下载:
  2. 高手写的VHDL源码,实现DDS跳频器功能 请大家多提意见-experts write VHDL source code, the frequency-hopping DDS functionality Please speak up
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:25600
    • 提供者:duyi
  1. dspbuild1

    0下载:
  2. 用matlab中的simulink仿真信号DDS信号,希望对大家有用-using Matlab Simulink simulation of signal DDS signal, useful for all
  3. 所属分类:matlab例程

    • 发布日期:2024-05-17
    • 文件大小:6144
    • 提供者:林静宜
  1. ddsmatlab

    0下载:
  2. dds在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-dds dspbuilder under the VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:6144
    • 提供者:zqh
  1. SINE_SIGNAL_generator_base_on_Single_Chip_Micyoco.

    1下载:
  2. 以SPCE061A单片机( Single Chip Micyoco)为核心,通过DDS合成技术设计制作了一个步进值能任意调节的多功能信号源。该信号源在1KHz~10MHz范围能输出稳定可调的正弦波,并具有AM、FM、ASK和PSK等调制功能。信号输出部分采用低损耗电流反馈型宽带运放作电压放大,很好地解决了带宽和带负载能力的要求。系统带中文显示和键盘控制功能,操作简便,实现效果良好。 内含 原程序,正弦信号发生器的pCB原理图,以及
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:520192
    • 提供者:陈朋
  1. dds_fpga

    0下载:
  2. DDS在现在运用月来越广泛,在相对带宽、频率转换时间、相位连续性、正交输出、高分辨力以及集成化等方面都远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。利用DDS技术可以很方便地实现多种信号。在FPGA上实现的DDS-DDS now to the use of more extensive relative bandwidth, frequency conversion time, phase continui
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:180224
    • 提供者:孙洪亮
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »

源码中国 www.ymcn.org