搜索资源列表

  1. delta_mod

    0下载:
  2. delta modulation files are there
  3. 所属分类:语音压缩

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:vipul
  1. ADC15_Matlab

    0下载:
  2. sigma-delta ADC的信噪比等的计算-the calculation of SNR、SNDR and so on of sigma-delta ADC
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:11264
    • 提供者:史小凤
  1. sndr

    0下载:
  2. 计算流水式模数转换器或者sigma-delta adc的SNDR-calculate SNDR of pipelined adc or sigma-delta adc
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:史小凤
  1. dm

    0下载:
  2. this is source code for delta modulation in matlab-this is source code for delta modulation in matlab
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:6144
    • 提供者:trendy
  1. sigmadelta_verilog_code

    1下载:
  2. sigma delta verilog code and testbench for you to do simulation
  3. 所属分类:其他行业

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:zhhy3818
  1. DM

    0下载:
  2. 增量調製MATLAB源程序代碼。 增量調製編碼解碼波形仿真結果。-DELTA MODULATION
  3. 所属分类:编程文档

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:sharon
  1. FAQ_ICV

    0下载:
  2. Sigma-Delta ADC 设计问题集,阐述了工业adc的原理及设计注意事项-Sigma-Delta ADC design problem sets, expounded the principles of industrial adc and Design Considerations
  3. 所属分类:软件工程

    • 发布日期:2024-05-20
    • 文件大小:326656
    • 提供者:zh
  1. Back-propagation_NN

    0下载:
  2. BP neural network implementation with generalized delta learning rule and momentum-BP neural network in Matlab
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:lin
  1. WindowsApplication1

    0下载:
  2. DELTA PLC VISUAL BASIC COMMUNICATION MODBUS DLL AND EXAMPLE VB.NET Application
  3. 所属分类:编程文档

    • 发布日期:2024-05-20
    • 文件大小:459776
    • 提供者:hakan
  1. ADC

    0下载:
  2. 该matlab程序验证了信号处理中delta-sigma ADC的效果,在过采样和delta-sigma单次循环后得到的图象性噪比提高。-The matlab program verification of signal processing in the effect of delta-sigma ADC, and the over-sampling delta-sigma a single image obtained after
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:王奇
  1. adc_spi

    0下载:
  2. dsp通过SPI接口数据采集 sigma-delta ADC采集程序-dsp through the SPI interface, data acquisition sigma-delta ADC acquisition program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:8192
    • 提供者:xingtian
  1. 1-408

    0下载:
  2. Conversion latency in delta-sigma converters
  3. 所属分类:编程文档

    • 发布日期:2024-05-20
    • 文件大小:195584
    • 提供者:geo
  1. dvp-32esPLC

    0下载:
  2. 台达PLC通信设计必备,包含基本指令,和线圈寄存器地址,详细指令介绍-Delta PLC communication design necessary, including basic instructions, and coil register address, detailed instructions introduction
  3. 所属分类:软件工程

    • 发布日期:2024-05-20
    • 文件大小:77824
    • 提供者:孙杰
  1. sd

    0下载:
  2. 一阶sigma delta的matlab中simulink的仿真,z域-First-order sigma delta of matlab simulation in simulink, z domain
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:6144
    • 提供者:贺金
  1. oneorder

    0下载:
  2. 一阶sigma-delta ADC 算法仿真程序及量化台阶可视化-oneorder sigma-delta ADC simulation code and quatity ladder
  3. 所属分类:邮电通讯系统

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:yinjun
  1. segma-delta

    0下载:
  2. segma-delta modulation tech. for sinusoidal using matlab,first run simulink then run the m-file(segma)
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:6144
    • 提供者:Orwa M. Roro
  1. VB_DVP

    0下载:
  2. 用VB写的通过MODBUS协议与台达PLC进行控制的源码-Written using VB MODBUS protocol via PLC control with Delta Source
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:4096
    • 提供者:林飞
  1. simulateDSM

    0下载:
  2. Compute the output of a general delta-sigma modulator with input u, a structure described by ABCD, an initial state x0 (default zero) and a quantizer with a number of levels specified by nlev. Multiple quantiz
  3. 所属分类:通讯编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:hamdi
  1. simulateQSNR

    0下载:
  2. Determine the SNR for a quadrature delta-sigma modulator using simulations. The modulator is described by a noise transfer function (ntf) and the number of quantizer levels (nlev). The ntf/stf may be given in AB
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:hamdi
  1. simulateSNR

    0下载:
  2. Determine the SNR for a delta-sigma modulator by using simulations. The modulator is described by a noise transfer function (ntf) and the number of quantizer levels (nlev).
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:hamdi
« 1 2 3 4 56 7 8 9 10 ... 40 »

源码中国 www.ymcn.org