搜索资源列表

  1. byKarolyJrStanyo

    0下载:
  2. Begin with 2.bat it creates 3D Bowl objects in DirectX form, shows it in real time with the StateManager and creates *.obj file for maxscr ipt import with 3DS Max. May wrote own object definer short scr ipts for it.-Begi
  3. 所属分类:DirextX编程

    • 发布日期:2024-05-20
    • 文件大小:660480
    • 提供者:skar77
  1. dso

    0下载:
  2. 使用VHDL语言编写的简易数字存储示波器,用MAX+PlusII仿真验证。VHDL编写了采样、存储写、存储读和显示4个模块。采样使用ADC0809,存储器使用6264,显示使用DAC0832。-The design of the chip as a high-speed signal ADC0809 the A/D converter, SRAM6264 memory for data storage after sampling, D
  3. 所属分类:软件工程

    • 发布日期:2024-05-20
    • 文件大小:502784
    • 提供者:兰江营
  1. CPU

    0下载:
  2. 实现简单CPU功能的源码,可以实现加减乘除和移位功能,VHDL代码,程序运行在MAX PULS和Quartua上。-The purpose of this project is to design and simulate a parallel output controller (POC) which acts an interface between system bus and printer. The Altera’s Max
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:4490240
    • 提供者:灿烂六月
  1. trajectory

    0下载:
  2. This function is used to evaluate the max height and the max distance of a projectile and plot the trajectory. Inputs v0 : The initial velocity in m/s theta: The angle at which the projectile is fired in d
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:santosh
  1. S030602102

    0下载:
  2. 赋权有向图中心问题 问题描述: 设G=(V,E)是一个赋权有向图,v是G的一个顶点, v的偏心距定义为: Max {w∈ V,从w到v的最短路径长度} G中偏心距最小的顶点称为G的中心。试利用Floyd 算法设计一个求赋权有向图中心的算法。-Empowering the central issue Digraph Problem Descr iption: Let G = (V, E
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-20
    • 文件大小:83968
    • 提供者:林建
  1. LiveSpyInstaller200

    0下载:
  2. 台式机上网时可动态传输视频,包括动态保存,用户控制更新频率-a desktop internet camera application for Linux, Max OS X, and Windows
  3. 所属分类:Audio

    • 发布日期:2024-05-20
    • 文件大小:1219584
    • 提供者:邵隆
  1. work

    0下载:
  2. 用幂法与反幂法求矩阵的最大最小特征值,以及与某个值相近的特征值,模最小的特征值,条件数与行列式-Power law with power law and anti-matrix eigenvalues of the max-min, as well as the characteristics of a value similar to the value of the smallest modulus eigenvalue condi
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:maria
  1. opengl

    0下载:
  2. 几篇博士论文,很不错的呀,对大家应该有帮助-no
  3. 所属分类:Windows编程

    • 发布日期:2024-05-20
    • 文件大小:6620160
    • 提供者:
  1. ttt

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system is the use of VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development p
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:183296
    • 提供者:cch
  1. jiaotongdeng

    0下载:
  2. 十字路*通信号灯试验,用max+plusII编程。希望对大家有用,-Test traffic lights at a crossroads, with max+ plusII programming. We hope to be useful,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:4096
    • 提供者:纪海健
  1. felzyab3000_1

    0下载:
  2. flezyab 3000data max
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:5120
    • 提供者:akbar
  1. zjzz

    0下载:
  2. 这是用3d max制作的一个支架,文件还描述了详细的制作过程-3d max which is produced by a stent, the document also describes in detail the production process
  3. 所属分类:OpenGL

    • 发布日期:2024-05-20
    • 文件大小:1366016
    • 提供者:ll
  1. UART

    0下载:
  2. A badic controller for the UART. It incorporates a -- transmit and receive FIFO (from Max+Plus II s MegaWizard -- plug-in manager). Note that no checking is done to see -- whether the FIFOs are overflowing or not.
  3. 所属分类:操作系统开发

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:Viral
  1. Joesph

    0下载:
  2. 该程序用来实现用来哟瑟夫环问题。只用一个功能函数即可。函数中有两个参数,分别是第一个喊数人的编号,另一个是喊数上限。-this program mainly used for playing Joesph ring.there is only one function,and have two formal parameter.the first is number of people who get the shu first,the
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:
  1. stopwatch

    0下载:
  2. 一个用VHDL编写的秒表程序,可用Max+PlusII仿真-Prepared by a stopwatch with VHDL procedures, Max+ PlusII simulation can be used
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:620544
    • 提供者:jiangshengcheng
  1. calculator-src

    0下载:
  2. Descr iption Scientific calculator. Allows to perform caclulation with high precicion and implements most populatr mathematical functions: sin, cos, tan, asin, acon, atan, exp, log, sqr, floor and ceil. Also it make
  3. 所属分类:J2ME

    • 发布日期:2024-05-20
    • 文件大小:245760
    • 提供者:tang
  1. MAXPlus_license11.0

    0下载:
  2. use for max+plus11.0,含license.dat-for max+plus11.0 ,include license.dat
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:kukulangma
  1. jisuanjituxiang

    0下载:
  2. 最大值:扫描法:设第一个象素灰度级为最大值,然后与后一个象素灰度级比较,如果后面的大,则把后一象素值赋给最大值,依次逐一比较到图象最后的一个象素,找出最大值。-Max: scanning method: the first pixel-based gray-scale for the maximum, and then after a pixel with gray-scale comparison, if the back of th
  3. 所属分类:压缩解压

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:李春良
  1. max

    0下载:
  2. 将数组中元素按列进行排序,排序完的数组被重新放在原树组当中-Array elements will be sorted by column, to sort the array after the original trees were re-group on which
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:15360
    • 提供者:杨金龙
  1. counter

    0下载:
  2. 利用EDA工具MAX-PlusII的VDHL输入法,输入VHDL程序,实现2位计数器,在七段译码器上以十进制显示:0、1、2、3、0、...。时钟信号使用83管脚。采用自动机状态转换方式设计该计数器;建立相应仿真波形文件,并进行波形仿真;分析设计电路的正确性。-The use of EDA tools VDHL of the MAX-PlusII input method, enter the VHDL program, the rea
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:92160
    • 提供者:米石
« 1 2 ... 40 41 42 43 44 4546 47 48 49 50 »

源码中国 www.ymcn.org