搜索资源列表

  1. PRIME

    0下载:
  2. 用C SHARP寫的程式,輸入六個數字並且找出這個數字範圍內的質數-Written using C# programs, six digital inputs and to identify the number of prime numbers within the
  3. 所属分类:网络截获/分析

    • 发布日期:2024-05-12
    • 文件大小:35840
    • 提供者:hhcho
  1. Prime

    0下载:
  2. 验证哥德巴赫猜想,此程序为java大学实验-prime
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:tanjianxin
  1. prime

    0下载:
  2. 大二数据结构程序代码 实现prime算法-Sophomore data structure to achieve prime code algorithm
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-12
    • 文件大小:169984
    • 提供者:肖爽
  1. primeno

    0下载:
  2. how to detect a prime number using VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:31744
    • 提供者:tg
  1. prime

    1下载:
  2. 求素数 求模 一些关于关于加密的基本算法,自带数据的,希望修改后使用-prime
  3. 所属分类:文档资料

    • 发布日期:2024-05-12
    • 文件大小:2048
    • 提供者:pan zj
  1. Rian.tar

    0下载:
  2. This the prime generator using Bit Array. It s the best prime generator. The algorithm based on Eratos and using the bit operation to enhanced the speed of computation.-This is the prime generator using Bit Array. It s t
  3. 所属分类:其他小程序

    • 发布日期:2024-05-12
    • 文件大小:35840
    • 提供者:Andre
  1. generate_RSA_n

    0下载:
  2. 生成大素数并检验以及生成RSA1024位公钥子程序,不使用库文件,源代码公开,清晰有注释-Generate large prime numbers and testing, and to generate RSA1024-bit public key subroutine, do not use library files, source code is open, clear and annotated
  3. 所属分类:加密解密

    • 发布日期:2024-05-12
    • 文件大小:39936
    • 提供者:caiziyuan
  1. prime

    0下载:
  2. 一是利用计算机对正整数进行素因子分解认识素数,通过运用筛法与试除法寻找一定范围内的素数,体会到筛法比试除法有效但无法找出所有素数;二是通过若干特殊形式的素数的研究,寻找素数生成公式,发现一些结论;三是借助于非线性拟合技术,观察素数分布规律,利用其研究有关数论问题。-The first computer was used to prime factor decomposition of positive integers understa
  3. 所属分类:matlab例程

    • 发布日期:2024-05-12
    • 文件大小:223232
    • 提供者:sean
  1. asil_egemen_findPrimesParallel.c

    0下载:
  2. MPI Efficient Finding Prime
  3. 所属分类:并行运算

    • 发布日期:2024-05-12
    • 文件大小:2048
    • 提供者:mehmet hakki
  1. prime

    0下载:
  2. prime algorithm to finding minimum spanning tr-prime algorithm to finding minimum spanning tree
  3. 所属分类:其他小程序

    • 发布日期:2024-05-12
    • 文件大小:20480
    • 提供者:maryam
  1. 1002

    0下载:
  2. Sicily上的1002题,主要是为一些初学者设计的-Problem Given a sequence of consecutive integers n,n+1,n+2,...,m, an anti-prime sequence is a rearrangement of these integers so that each adjacent pair of integers sums to a composite (non-p
  3. 所属分类:其他小程序

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:胡乔
  1. EX4

    0下载:
  2. 用Win32所提供的同步对象解决有限缓冲区问题:写一个过线程实现C/C++语言程序:一些线程负责找出某个范围的素数,并放到一个数组中。另一些线程负责将数组中的素数取出,依次显示 -Use Win32 synchronization objects provided by the limited buffer problem to solve: write a thread to achieve than C/C++ Language
  3. 所属分类:Windows编程

    • 发布日期:2024-05-12
    • 文件大小:3072
    • 提供者:Nancy
  1. PrimeGenAndTester

    0下载:
  2. Very large (tested for more than 2048 bits) prime number generator and tester program. This is well written and based on solid algorithms in this field. Source code is my own, used for Masters course in Cryptography. P
  3. 所属分类:加密解密

    • 发布日期:2024-05-12
    • 文件大小:22528
    • 提供者:s_wilfred
  1. include1

    0下载:
  2. MPI prime numbers. program for generating prime numbers
  3. 所属分类:并行运算

    • 发布日期:2024-05-12
    • 文件大小:12288
    • 提供者:Sendia
  1. prime

    0下载:
  2. Program to check whether an entered number is prime or not.
  3. 所属分类:C#编程

    • 发布日期:2024-05-12
    • 文件大小:13312
    • 提供者:ya3
  1. Prime

    0下载:
  2. 输入一个整数数据并求大于该数据的的最小素数-Enter an integer data and the data request is greater than the smallest prime number
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:冯海荣
  1. prime

    0下载:
  2. 实现两个四位素数之间的转换,每次变一位数 中间过程都是素数 要几次-Between the two prime numbers to achieve the conversion of four, each time varying the number of intermediate process is a prime number to be several times
  3. 所属分类:其他小程序

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:
  1. PRIME

    0下载:
  2. 用双重for循环实现求素数功能 用的是oracle PL/SQL -Request with a double for loop to achieve with the prime function of oracle PL/SQL
  3. 所属分类:文件格式

    • 发布日期:2024-05-12
    • 文件大小:162816
    • 提供者:孙宗元
  1. vpenv_user_precip

    0下载:
  2. vega prime中自带例子地形中的雪花特效代码-vega prime example of built-in snow terrain effects code
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-12
    • 文件大小:39936
    • 提供者:高志勇
  1. Drivinggame

    0下载:
  2. vega prime 汽车驾驶小游戏开发采用键盘上的方向键可以控制汽车的速度和方向-vega prime driving game development using the keyboard arrow keys to control the car' s speed and direction
  3. 所属分类:游戏

    • 发布日期:2024-05-12
    • 文件大小:2048
    • 提供者:周子龙
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

源码中国 www.ymcn.org