搜索资源列表

  1. CORE 图形系统

    0下载:
  2. Graph with no options takes pairs of points (two numbers per line) from the given file (or standard input) as x- and y- values and plots them on the screen, connected by straight lines. A string surrounded by qu
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:52854
    • 提供者:ysh
  1. CummingsSNUG2002SJ_Resets

    0下载:
  2. Synchronous Resets? Asynchronous Resets?I am so confused!How will I ever know which to use? 复位信号的论文-Synchronous Resets Asynchronous Resets I am so confused! How will I ever know which to use Minute Signal-paper
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:243047
    • 提供者:黄名
  1. deadlineWdDemo

    0下载:
  2. This program demonstrates using watchdog timers to invoke deadline handlers. CoordinatorTask sends data to the organizer. OrganizerTask receives data from the coordinatorTask, and resets the coordinato
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2333
    • 提供者:于凤新
  1. CORE 图形系统

    0下载:
  2. Graph with no options takes pairs of points (two numbers per line) from the given file (or standard input) as x- and y- values and plots them on the screen, connected by straight lines. A string surrounded by qu
  3. 所属分类:绘图程序

    • 发布日期:2024-06-09
    • 文件大小:52224
    • 提供者:ysh
  1. CummingsSNUG2002SJ_Resets

    0下载:
  2. Synchronous Resets? Asynchronous Resets?I am so confused!How will I ever know which to use? 复位信号的论文-Synchronous Resets Asynchronous Resets I am so confused! How will I ever know which to use Minute Signal-paper
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:242688
    • 提供者:黄名
  1. deadlineWdDemo

    0下载:
  2. This program demonstrates using watchdog timers to invoke deadline handlers. CoordinatorTask sends data to the organizer. OrganizerTask receives data from the coordinatorTask, and resets the coordinato
  3. 所属分类:VxWorks

    • 发布日期:2024-06-09
    • 文件大小:2048
    • 提供者:于凤新
  1. ECE348_Lab1_shah006

    0下载:
  2. To learn how to use MPLAB Integrated Development Environment (IDE) for code generation, simulation, and debugging. This code is a very simple program that sets up PORTC and PORTB I/Os and sends some values over thes
  3. 所属分类:汇编语言

    • 发布日期:2024-06-09
    • 文件大小:430080
    • 提供者:gary
  1. delphifr_MEMORISER-DONNEES-DANS-EXE___Page

    0下载:
  2. Demo simple writing of data in the EXE itself. These data are in the area of initialized variables, where you can book almost as much space as you want. Established from the following remarks: - You can rena
  3. 所属分类:其他小程序

    • 发布日期:2024-06-09
    • 文件大小:178176
    • 提供者:imene
  1. MILCMAIDFiles

    0下载:
  2. These files are my effort for a simple RFID scanner, using the TRF7960 and an Atmel AVR644. The included files only extend to the RFID capabilities of my circuit, and are with realevance to the ISO14443A standard. r
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-09
    • 文件大小:13312
    • 提供者:Billy
  1. zidongshouhuojisheji

    0下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:34816
    • 提供者:高菲悦
  1. ModelsimVerilogWatch

    0下载:
  2. Stopwatch Design - ModelSim Vlog Tutorial Required Software: - Model Technology Modelsim 5.4a - Xilinx Development System 3.1i CONTROLS Inputs: * CLK -System clock for the Watch design. * STRTSTOP -S
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:39936
    • 提供者:SEEDSTART
  1. PIC32-reset

    0下载:
  2. PIC32单片机复位(Resets)的标准函数程序。编写PIC32程序很好的参考资料。-PIC32 MCU Reset (Resets) standard function application. PIC32 program write a good reference.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:3072
    • 提供者:刘世生
  1. buffered-cpu-interfact.tar

    0下载:
  2. This is a fully synchronous (single clock domain, no asynchronous resets) UART with a FIFO buffered cpu interfact
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:8192
    • 提供者:asfk
  1. di4

    0下载:
  2. 1、 用16*16点阵的发光二极管逐行扫描显示“一”字。 2、 输入为四位二进制矢量。 3、 采用行列扫描的方法,用四位二进制做行选信号(总共16列),如选中第一行,则扫描第一行之中哪些行是高电平(1),哪些行是低电平(0) 为高电平的则点亮,为低电平的不亮。 4、 注意扫描频率的设置,扫描频率足够快,才能动态扫描“一”字。 5、 程序由行扫描模块和显示模块构成。 行扫描模块输入为一个时钟信号和重置信号,输出为4位二
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:吴凤妹
  1. wu2

    0下载:
  2. 1、 用16*16点阵的发光二极管逐行扫描显示“一”字。 2、 输入为四位二进制矢量。 3、 采用行列扫描的方法,用四位二进制做行选信号(总共16列),如选中第一行,则扫描第一行之中哪些行是高电平(1),哪些行是低电平(0) 为高电平的则点亮,为低电平的不亮。 4、 注意扫描频率的设置,扫描频率足够快,才能动态扫描“一”字。 5、 程序由行扫描模块和显示模块构成。 行扫描模块输入为一个时钟信号和重置信号,输出为
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:吴凤妹
  1. debounce_keys

    0下载:
  2. Descr iption: Demonstrates debouncing 8 keys. The state of the eight keys is sampled four times using a timer interrupt. If a key is pressed longer than four seconds, the corresponding bit in the key_press globa
  3. 所属分类:其他小程序

    • 发布日期:2024-06-09
    • 文件大小:2048
    • 提供者:abdulmajeed
  1. qiangdaqi

    0下载:
  2. 四人抢答器, 有4组抢答,系统开始后进入抢答状态,抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,发出报警信号;当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声响起,显示该路抢答台号;一轮结束后按复位键将所有状态复位。-Four Responder, Responder has four groups, the system begins to enter the answer in the state, be
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:357376
    • 提供者:haby
  1. CHIDOS

    0下载:
  2. The 16x2 LCD Display requires a specific startup sequence // to initialize itself. This sequence (1) clears the display, // (2) moves the cursor to home, (3) resets the function code // (4) turns the display on, an
  3. 所属分类:matlab例程

    • 发布日期:2024-06-09
    • 文件大小:11264
    • 提供者:reluna1
  1. mcu2

    0下载:
  2. 乒乓球游戏:使用资源为 4 个按键,4 个LED 指示和2 个数码管。4 个按键分别定义为左击球,右击球,开始比赛,游戏重置;LED 亮起表示乒乓球的位置,数码管显示当前双方的比分。游戏规则如下:按动1 个按键后,游戏开始,此时如果按动左击球键,则最左边的LED 亮起,并且从左边开始不断向右边移动,当最右边1 个LED 亮起时,如果此时右击球键按下,则LED 从右边又不断向左边移动,如果提前按动了右击球,或者滞后按下右击球键,则左边数码
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:26624
    • 提供者:Sun
  1. NameFactoryResetter

    0下载:
  2. This Class Visitor resets a given name factory whenever it visits a class file Source Code for Linux.
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-06-09
    • 文件大小:2048
    • 提供者:tingwoyui
« 12 3 »

源码中国 www.ymcn.org