搜索资源列表

  1. SDRAM

    0下载:
  2. 清华大学-电子信息工程系-实验用ARM-linux-源代码-SDRAM篇-Tsinghua University- Electronic Information Engineering- experiment with ARM-linux-source code-SDRAM articles
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:姜勇
  1. ref-sdr-sdram-verilog

    1下载:
  2. sdram的控制器 verilog源码-SDRAM controller Verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:718848
    • 提供者:唐业衡
  1. ref-sdr-sdram-vhdl

    0下载:
  2. FPGA连接SDRAM的源程序,VHDL语言实现,功能基本完全。应用效果好。-FPGA connected SDRAM source, VHDL language, the basic function fully. Application effective.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:732160
    • 提供者:young
  1. DSP-SDRAM

    0下载:
  2. 详细介绍了如何在DSP中如何正确配置EMIF模块来设置SDRAM-Detailed information on how to how to properly configure the DSP in the EMIF module to set the SDRAM
  3. 所属分类:文档资料

    • 发布日期:2024-05-17
    • 文件大小:399360
    • 提供者:杜奋
  1. SDRAM

    0下载:
  2. SDRAM的程序,用于SISIS公司的MCU和三星的SDRAM-SDRAM, which is used SISIS company s MCU and Samsung s SDRAM
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:gongsaiwei
  1. sdram

    0下载:
  2. sdram controller.verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:13312
    • 提供者:刘志刚
  1. SDRAM

    0下载:
  2. SDRAM的详细介绍,包括详细的使用说明,内部结构等-SDRAM detailed introduction, including detailed instructions, the internal structure
  3. 所属分类:操作系统开发

    • 发布日期:2024-05-17
    • 文件大小:189440
    • 提供者:tang
  1. SDRAM

    0下载:
  2. 基于FPGA的SDRAM控制器的设计和实现,还比较好勒.-FPGA-based SDRAM controller design and realization, but also better le.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:69632
    • 提供者:rubyshirial
  1. Sdram

    0下载:
  2. 对于DSP C6000系列视频处理开发中,SDRAM于对视频编码数据的存储,此代码为SDRAM的驱动程序-DSP C6000 series for the development of video processing, SDRAM video encoding data on the storage, the code for SDRAM driver
  3. 所属分类:DSP编程

    • 发布日期:2024-05-17
    • 文件大小:76800
    • 提供者:张名
  1. ref-sdr-sdram-verilog

    0下载:
  2. sdram控制器的开发程序,还有文档,可以参考以下-SDRAM controller development process, there is a document, you can refer to the following
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:776192
    • 提供者:王鹏
  1. ref-sdr-sdram-vhdl

    0下载:
  2. 标准SDR SDRAM控制器参考设计_verilog_lattice\sdr_ctrl.v-Standard SDR SDRAM Controller Reference Design _verilog_latticesdr_ctrl.v
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:776192
    • 提供者:王廷龙
  1. sdram

    0下载:
  2. sdram test controller altera -sdram test controller altera
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1519616
    • 提供者:yangchun
  1. SDRAM

    0下载:
  2. SDRAM的原理和时序,对于实现对SDRAM操作非常有帮助!-SDRAM principle and timing, for the realization of the operation of the SDRAM very helpful!
  3. 所属分类:技术管理

    • 发布日期:2024-05-17
    • 文件大小:1025024
    • 提供者:任启明
  1. sdram

    0下载:
  2. vhdl 编写的sdram controler, 双通道-VHDL prepared sdram controler, dual-channel
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:chenchungen
  1. ref-sdr-sdram-verilog

    0下载:
  2. SDRAM的vegilog代码,做一个SDRAM的封装成为SRAM一样进行操作。一个顶层文件下由三个模块-SDRAM
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:717824
    • 提供者:吴厚航
  1. sdram

    0下载:
  2. sdram的内存初始化源代码,有要的赶快下-SDRAM memory initialization source code, there should be as soon as possible under the
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:cxdzxc
  1. (fpga)sdram

    0下载:
  2. verilog 代码,读写SDRAM 不带仿真,需要自己编写测试文件-Verilog code, read and write SDRAM simulation without the need to prepare their own test documentation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:19935232
    • 提供者:ch
  1. ref-sdr-sdram-verilog

    0下载:
  2. 标准SRD SDRAM控制器参考设计,altera提供 Verilog代码,带有使用手册,大家试试交流一下 -Standard SRD SDRAM controller reference design, altera provide Verilog code, with user manual, we try to exchange some
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:776192
    • 提供者:费尔德
  1. ref-ddr-sdram-vhdl

    0下载:
  2. 基于VHDL编写的DDR-SDRAM控制器的编程,目前是业界常用的RAM控制器-VHDL prepared based on the DDR-SDRAM controller programming, is currently the industry s commonly used RAM controller
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1031168
    • 提供者:wfs
  1. ref-sdr-sdram-vhdl

    0下载:
  2. 基于VHDL编写的SDR-SDRAM控制器的编程,目前是业界常用的RAM控制器-VHDL prepared based on the SDR-SDRAM controller programming, is now commonly used in industry RAM controller
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1013760
    • 提供者:wfs
« 1 23 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org