搜索资源列表

  1. fifo数据缓冲器的vhdl源程序

    0下载:
  2. 编了个8*8位的fifo数据缓冲器的vhdl源程序,是经过quartusII4.2编译成功的程序。。希望能跟各位交流-Bianlegan 8* 8 of the data buffer fifo VHDL source, after quartusII4.2 compiler successful procedures. . Hope you enjoy the exchanges
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:夏社
  1. USB控制器VHDL程序

    0下载:
  2. USB控制器VHDL程(usb_xilinx_vhdl),用XILINX公司的FPGA实现-VHDL-USB controller (usb_xilinx_vhdl) XILINX FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:60416
    • 提供者:夏社
  1. 1076 IEEE Standard VHDL Language Reference Manual.

    0下载:
  2. 1076-2002 IEEE Standard VHDL Language Reference Manual-1076-2002 IEEE Standard VHDL Language Ref validated Manual
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:867328
    • 提供者:巫涛
  1. 出租车计价器VHDL程序与仿真

    1下载:
  2. 出租车计价器VHDL程序与仿真,vhdl源码,对设计这方面的同志们具有很好的参考价值-Taximeter procedures and VHDL simulation, VHDL source code, to this regard, the design of the comrades who have a good reference value! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:86016
    • 提供者:温暖感
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. 自动售货机VHDL程序与仿真,源码,具有很高的参考价值!-vending machines procedures and VHDL simulation source code, the high reference value!
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:143360
    • 提供者:温暖感
  1. MPSK调制与解调VHDL程序与仿真

    0下载:
  2. MPSK调制与解调VHDL程序与仿真,具有很高的参考价值!!vhdl代码!-MPSK modulation and demodulation process and VHDL simulation, high reference value! ! VHDL code!
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:79872
    • 提供者:温暖感
  1. muxplusii --vhdl 经典程序

    0下载:
  2. 用VHDL编写的数字时钟,可变宽度脉冲产生器-prepared using VHDL digital clock, Variable width pulse generator, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:8192
    • 提供者:vhdp
  1. VHDL数学运算库1.0

    0下载:
  2. 这是一个VHDL写的数学运算的硬件设计库,还算比较完整-This is a VHDL write arithmetic hardware design basement, still relatively complete
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:247808
    • 提供者:佴立峰
  1. vhdl实例-完整微处理器系统模型

    0下载:
  2. vhdl实例-完整微处理器(cpu)系统模型 -VHDL-integrity microprocessor (CPU) system model
  3. 所属分类:其他小程序

    • 发布日期:2024-04-29
    • 文件大小:2048
    • 提供者:张洪
  1. 时序逻辑:VHDL实例---移位寄存器

    0下载:
  2. 时序逻辑种类:VHDL实例---移位寄存器-sequential logic types : VHDL examples--- Shift Register
  3. 所属分类:其他小程序

    • 发布日期:2024-04-29
    • 文件大小:2048
    • 提供者:张洪
  1. 基本语法:VHDL实例---条件赋值:使用多路选择器

    0下载:
  2. 基本语法:VHDL实例---条件赋值:使用多路选择器.rar-basic syntax : VHDL examples--- conditions assignment : use of multi-channel selector. Rar
  3. 所属分类:其他小程序

    • 发布日期:2024-04-29
    • 文件大小:2048
    • 提供者:张洪
  1. VHDL硬件描述语言基础

    0下载:
  2. 此课程讲义很有用,主要介绍了VHDL硬件描述语言,适合于初学者-course lectures very useful, mainly introduces the VHDL hardware descr iption language, suitable for beginners
  3. 所属分类:文档资料

    • 发布日期:2024-04-29
    • 文件大小:30720
    • 提供者:董敏娥
  1. [eda]vhdl

    0下载:
  2. 福州大学EDA选修课所有实验课程代码。VHDL语言描述(vhd),以及电路图(gdf)-Fuzhou University EDA optional courses in all experimental code. VHDL descr iption (vhd), and circuit (GdF)
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:222208
    • 提供者:林锋杰
  1. pulse-VHDL

    0下载:
  2. 可控脉冲产生VHDL程序 开发软件ISE,程序通过了器件后仿真并在芯片XC9572中实现了-controllable pulse generated VHDL ISE software development procedures, procedures adopted after the simulation devices and chips to achieve the XC9572
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:44032
    • 提供者:林海
  1. CPLD--VHDL

    0下载:
  2. VHDL的基础知识,一切从基础开始!希望这个对大家有所帮助!-VHDL basic knowledge, everything from the foundation started! We hope that the right help!
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:30720
    • 提供者:老纪
  1. VHDL-Design-Examples

    0下载:
  2. vhdl设计的大量实例,方便参考,很高的收藏价值-VHDL design of the large number of examples, ease of reference, a high value for collection
  3. 所属分类:电子书籍

    • 发布日期:2024-04-29
    • 文件大小:168960
    • 提供者:waiyu
  1. vhdl-com

    0下载:
  2. 一些vhdl的常用程序,包括键盘扫描-instantiate some common procedures, including scanning keyboard, etc
  3. 所属分类:并口编程

    • 发布日期:2024-04-29
    • 文件大小:2048
    • 提供者:阿飞
  1. vhdl-fpga

    0下载:
  2. 这是一本很好用的VHDL编程书,各位看了就明白。-This is a very good use of VHDL programming, you read it to understand.
  3. 所属分类:电子书籍

    • 发布日期:2024-04-29
    • 文件大小:7723008
    • 提供者:lqt76
  1. VHDL.sheji.2

    0下载:
  2. 电子时钟VHDL程序与仿真 10进制计数器设计与仿真 6进制计数器设计与仿真-electronic clock procedures and VHDL simulation Decimal counter design and simulation of six NUMBER Design and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:59392
    • 提供者:少龙
  1. LED.VHDL

    0下载:
  2. LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:5120
    • 提供者:少龙
« 1 2 3 4 56 7 8 9 10 ... 50 »

源码中国 www.ymcn.org