搜索资源列表

  1. Analog-MP3

    0下载:
  2. 模拟MP3,将音乐代码存入单片机,通过按键选择歌曲,进行播放。-Analog MP3, the music code into the microcontroller through the button to select songs for playback.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:王雨来
  1. Analog-mouse-

    0下载:
  2. 模拟鼠标操作(按下,拖动,弹起),当打开windows画图软件,再尝试本软件功能,会得到很好的效果-Analog mouse (click, drag, bounce)
  3. 所属分类:系统编程

    • 发布日期:2024-05-19
    • 文件大小:179200
    • 提供者:yewenlin
  1. two-analog-digital-conversion

    0下载:
  2. 通过160128液晶曲线显示ADC0832两路模数转换结果-ADC0832 by 160,128 LCD curve display the results of two analog-digital conversion
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:55296
    • 提供者:steve
  1. Analog-clock

    0下载:
  2. A C program. It creates an analog clock. This is truly based on concepts of C language. Try this...it will surely run.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:PINAKI BANIK
  1. Aggarwal---A-self-tuning-analog-PID-controller.pd

    0下载:
  2. A Self-Tuning Analog Proportional-Integral-Derivative (PID) Controller
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:304128
    • 提供者:Sergii
  1. IO-analog

    0下载:
  2. IO口模拟串行通讯软件模块 -Serial communication port analog IO modules IO port simulation software serial communication software module
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:12288
    • 提供者:coffee
  1. Analog-and-Digital-circuits

    0下载:
  2. Analog and Digital Circuits for Electronic Control System Applications Using the TI MSP430 Microcontrollers
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:3055616
    • 提供者:raghad
  1. analog-clock

    0下载:
  2. analog clock for C++ builder
  3. 所属分类:Windows编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:astalavista
  1. FM-Tuner-Analog-Front-End

    0下载:
  2. A Low Distortion FM Tuner Analog Front-End with Multi-tanh Low Noise Amplifier
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:280576
    • 提供者:jr
  1. Last-Loaded-ADC0832-analog-t

    0下载:
  2. Last Loaded ADC0832模数转换与显示-Last Loaded ADC0832 analog to digital conversion and display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:41984
    • 提供者:张凡
  1. analog-system

    0下载:
  2. analog system对图形窗口系统的简单模拟,桌面,图标.-(analog system)Analog to produce stats system of graphical window system of imitated simply, desktop, ICONS .
  3. 所属分类:Windows编程

    • 发布日期:2024-05-19
    • 文件大小:2763776
    • 提供者:克鸿
  1. Analog-Clock

    0下载:
  2. This the code for analog clock in C/C++.-This is the code for analog clock in C/C++.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:Faheem
  1. a-single-channel-analog

    0下载:
  2. 本课程设计要求模拟实现一个的单道批处理系统的作业调度。通过具体的作业队列、作业调度算法等功能的实现,加深对单道批处理系统的作业调度实现过程的理解。-The course design requirements to achieve a single-channel analog batch job scheduling system. Through specific job queue, job scheduling function
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-19
    • 文件大小:593920
    • 提供者:hezhiqiang
  1. analog-modulation-recognition

    0下载:
  2. A.K.Nandi和E.E.Azzouz的期刊文献,是关于调制识别的经典文章,这篇文献是关于模拟调制识别的。-AKNandi and EEAzzouz of journal articles, is a classic article on the modulation recognition of this paper is about the analog modulation recognition.
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:706560
    • 提供者:海之翼
  1. Analog-Interfacing-to-Embedded-Microprocessor-Sys

    0下载:
  2. Modern electronic systems are increasingly digital: digital microprocessors, digital logic, digital interfaces. Digital logic is easier to design and understand, and it is much more flexible than the equivalent analo
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:1744896
    • 提供者:lei chuanhua
  1. 2-analog-AD-conversion-

    0下载:
  2. 利用ADC0808为A\D转换芯片,实现2路模拟量A\D 转换,可在此基础上实现多路电压的A\D转换-Using ADC0808 as A \ D conversion chip to achieve 2 analog A \ D conversion can be achieved on the basis of this multi-voltage A \ D converter
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:25600
    • 提供者:韩天
  1. Analog-to-Digital-Converter

    0下载:
  2. 模拟 数字转换器模数转换器,实现模拟信号数字化,便于计算机采集应用-Analog-digital converter ADC, the analog signal is digital, easy computer acquisition applications
  3. 所属分类:Windows编程

    • 发布日期:2024-05-19
    • 文件大小:66560
    • 提供者:伯白君
  1. Wavelet-based-Analog-Pulse-Shaping-for-Digital-Co

    0下载:
  2. Wavelet based Analog Pulse Shaping for Digital Communication
  3. 所属分类:波变换

    • 发布日期:2024-05-19
    • 文件大小:175104
    • 提供者:phuong
  1. Analog-satellite-rotation-and-Earth

    0下载:
  2. 模拟卫星旋转和地球自转,用openGL库在VC6.0下绘制地球模型,卫星模型,并实现卫星绕地球旋转和地球自转。-Analog satellite rotation and Earth' s rotation, with the openGL library is drawn in VC6.0 Earth model, the satellite model, and to achieve satellites orbiting t
  3. 所属分类:OpenGL

    • 发布日期:2024-05-19
    • 文件大小:80896
    • 提供者:
  1. electronic-circuit-analog-circuits

    0下载:
  2. 电子电路问答 张国香主编 模拟电路、数字电路、晶闸管-Q Zhang Hong editor electronic circuit analog circuits, digital circuits, thyristor
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:3557376
    • 提供者:梁蛟龙
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »

源码中国 www.ymcn.org