搜索资源列表

  1. alu_t

    0下载:
  2. test bench for alu 6 functions
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:vaibhav
  1. vani_tut

    0下载:
  2. A total of 52 files showing examples of shell scr ipting for Cadence NCSIM simulator, multiple single module + testbench examples in verilog 1995/2001, a "Randomized Smoothing Networks" paper (doc)+ppt+verilog codes and
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:270336
    • 提供者:Stephen Bishop
  1. file_io

    0下载:
  2. 读写硬盘文件的VHDL仿真例程,该例程能够帮助FPGA设计人员读取硬盘的数据文件输入仿真环境,并且将仿真后的数据存入硬盘-test bench for reading and writing disk files
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:season Li
  1. RGA

    0下载:
  2. 这是一个采用了UNDX-MMG模型的实数遗传算法。有5个测试函数。-the code is for real coded genetic algorithm using UNDX crossover and MMG model. it is tested using five bench mark function
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-20
    • 文件大小:6144
    • 提供者:chenzhiqiang
  1. i2c-IPcore

    0下载:
  2. i2c的完整可用的Verilog代码,包含testbench.-i2c complete Verilog code is available, including the testbench.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:572416
    • 提供者:王宇
  1. 8251a

    0下载:
  2. 利用8088/86CPU控制8251A可编程串行通信控制器,实现两个实验台之间的串行通讯。其中一个实验台作为发送方,另一侧为接收方。发送方读入按键值,并发送给接收方,接收方收到数据后在LED上显示。-Use 8088/86CPU control 8251A Programmable serial communication controller, to achieve two experiments serial communicati
  3. 所属分类:汇编语言

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:林燕
  1. UART

    0下载:
  2. 用VHDL编写实现的UART控制器源码,自带testbench,解压后用ISE打开工程文件即可。-Prepared with the VHDL source code to achieve the UART controller, bring their own testbench, after decompression project file can be opened with the ISE.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:25600
    • 提供者:陈阳
  1. ecc2GUIv091

    0下载:
  2. EC IMP INCLUDE HDL TEST VEC BENCH , B167, GUI.
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:522240
    • 提供者:tiger
  1. ecp233_1

    0下载:
  2. elliptic curve processor b-233, include test bench & test vector.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:91136
    • 提供者:tiger
  1. IC

    0下载:
  2. 这是一个电压模块测试台程序,包括绘图,数据库等设计,对初学者有很大帮助-This is a voltage module test bench procedures, including graphics, databases, etc. design, great help for beginners
  3. 所属分类:系统编程

    • 发布日期:2024-05-20
    • 文件大小:13434880
    • 提供者:liuxiaohui
  1. HYCT1

    0下载:
  2. 基于研华开发板的电液伺服实验台VC控制程序-Advantech development board based on the electro-hydraulic servo control procedures for laboratory bench VC
  3. 所属分类:控制台(字符窗口)编程

    • 发布日期:2024-05-20
    • 文件大小:175104
    • 提供者:王飞
  1. dualelevatorcontroller

    0下载:
  2. Verilog code for dual elevator controller. contains code for the controller of dual elevator for a building with 4 floors. the test bench is also present
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:4096
    • 提供者:JK
  1. DDRSDRAM_VHDL

    0下载:
  2. 内附doc是DDR SDRAM 参考设计文档;model包含SDRAM VHDL的模型;simulation包含VHDL测试平台、modelsim工程文、设计 库函数;source包含vhdl源文件;synthesis包含工程的综合文件。-Enclosing the doc is a DDR SDRAM reference design documentation model contains SDRAM VHDL model
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:886784
    • 提供者:陈少华
  1. benchAndTest

    0下载:
  2. basi test an bench on 1.6 improvements java version
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-20
    • 文件大小:4096
    • 提供者:Gio
  1. PUMP

    0下载:
  2. 燃油泵自动测试台,完成对电压,电流,流量,压力的采集,并上传给上位机处理-Auto fuel pump test bench, complete the voltage, current, flow, pressure, collection, and upload to the host computer processing
  3. 所属分类:能源行业(电力石油煤炭)

    • 发布日期:2024-05-20
    • 文件大小:89088
    • 提供者:本本
  1. 4_bit_parallel_add-sub

    0下载:
  2. 4 bit parallel add-sub with test bench.. in vhdl-4 bit parallel add-sub with test bench.. in vhdl..
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:harkirat
  1. mux41we

    0下载:
  2. 4:1 multiplexer using with select.. Test Bench included-4:1 multiplexer using with select.. Test Bench included..
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:harkirat
  1. penc81

    0下载:
  2. 8:1 priority encoder.. Test Bench included-8:1 priority encoder.. Test Bench included..
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:harkirat
  1. asyn_counter

    0下载:
  2. async counter,, test bench included-async counter,, test bench included..
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:harkirat
  1. async_FlipFlop

    0下载:
  2. asynchronous D-FlipFlop & JK-FlipFlop.. with test bench.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:harkirat
« 1 2 3 4 5 67 8 9 10 11 ... 18 »

源码中国 www.ymcn.org