搜索资源列表

  1. D触发器的设计

    0下载:
  2. D触发器的设计 主要用在时序电路中。 所用语言为Verilog HDL.-D flip-flop with the main design of the timing circuit. The language used for Verilog HDL.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3572
    • 提供者:*
  1. d

    0下载:
  2. VHDL的D触发器,简明了
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:125450
    • 提供者:calvin
  1. d

    0下载:
  2. d 触发器 简单的d触发器,上传仅供参考,望各位多多指教。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:12125
    • 提供者:古心
  1. 带同步清0、同步置1 的D 触发器

    0下载:
  2. 带同步清0、同步置1 的D 触发器, Verilog HDL 源码
  3. 所属分类:源码下载

  1. D触发器的设计

    0下载:
  2. D触发器的设计 主要用在时序电路中。 所用语言为Verilog HDL.-D flip-flop with the main design of the timing circuit. The language used for Verilog HDL.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:*
  1. digital_trigger

    0下载:
  2. 数字电路学习:触发器原理演示,可自行设定工作状态,显示电路内部变化状态。-digital circuit Learning : Trigger principle demonstration can set their own working conditions, showing the internal circuit changes state.
  3. 所属分类:教育/学校应用

    • 发布日期:2024-05-17
    • 文件大小:58368
    • 提供者:徐院长
  1. dff_UDP

    0下载:
  2. verilog实现,UDP描述带有异步复位的正边沿触发D触发器,test测试通过-verilog achieve, UDP asynchronous reset with a descr iption of the fringe is triggered D flip-flop, test test pass
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:
  1. dd

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。-Lane used microcontroller, two counters, as well as D flip-flop on the measured signal pulse with the stand
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:58368
    • 提供者:
  1. fffffff

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。-Lane used microcontroller, two counters, as well as D flip-flop on the measured signal pulse with the stand
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:302080
    • 提供者:
  1. Ddelay

    0下载:
  2. 在Quartus下使用D触发器来加入延迟,每个D触发器增加半个周期的延迟,稍加更改可以得到不同的延迟。-In Quartus using D flip-flop to join the delay, each D flip-flop raised a half-cycle delay, a little change can be a different delay.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:377856
    • 提供者:桃子
  1. def1

    0下载:
  2. 实现D触发器的基本功能,D触发器的功能是时钟信号为上升沿时检测输入信号并将其赋值给输出信号并维持到下一个上升沿(压缩包内为所有MAXPLUS2程序)-The realization of the basic functions of D flip-flop, D flip-flop function is when the clock signal for the rising edge detection of input signa
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:11264
    • 提供者:刘美
  1. CPLD

    0下载:
  2. 本科教育的实体实例,其中包括3-8译码器,D触发器等逻辑模块,可以位初学CPLD的爱好者提供方便-Examples of undergraduate education entities, including the 3-8 decoder, D flip-flops and other logic modules, digital learning can facilitate fans CPLD
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:89088
    • 提供者:小树
  1. d

    0下载:
  2. VHDL的D触发器,简明了-VHDL of the D flip-flop, a concise
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:124928
    • 提供者:
  1. d

    0下载:
  2. d 触发器 简单的d触发器,上传仅供参考,望各位多多指教。-d of d simple flip-flop flip-flop, the upload is for reference only, hope that the exhibitions.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:12288
    • 提供者:古心
  1. dff

    0下载:
  2. 用vhdl编写的D触发器,锁存器等,不需帐号就可自由下载此源码-VHDL prepared using D flip-flops, latches and so on, no account can be a free download this source
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:daniel
  1. my_reg

    0下载:
  2. D触发器,Verilog实现,配有实验说明文档。-D flip-flop, Verilog implementation, with experimental documentation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:867328
    • 提供者:姚成富
  1. dff1

    0下载:
  2. vhdl maxplus d触发器最基本的定义 自己看看有没有用-vhdl maxplus d trigger the most basic definition of their own to see if there is no use
  3. 所属分类:书籍源码

    • 发布日期:2024-05-17
    • 文件大小:24576
    • 提供者:刘超
  1. Y_0D

    0下载:
  2. 带同步置1、异步清0的D触发器。详细的讲解,易懂。(D flip-flop with synchronous 1 and asynchronous clear 0. Detailed explanation, easy to understand.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:2955264
    • 提供者:紫芩
  1. shiyanjiu

    0下载:
  2. 学习verilog时写的D触发器实验代码(D flip-flop experimental code written when learning Verilog)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:542720
    • 提供者:lorok
  1. shiyan9

    0下载:
  2. 学习verilog时写的D触发器源代码,供大家参考(D flip-flop experimental code written when learning Verilog)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:542720
    • 提供者:lorok
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org