搜索资源列表

  1. HDB3解码

    0下载:
  2. 另一个HDB3解码,基于verilog语言
  3. 所属分类:VHDL编程

    • 发布日期:2012-05-15
    • 文件大小:382
    • 提供者:lsz0718
  1. 几种编码方式

    0下载:
  2. A. 产生一个长为1000的二进制随机序列,“0”的概率为0.8,”1”的概率为0.2;B. 对上述数据进行归零AMI编码,脉冲宽度为符号宽度的50%,波形采样率为符号率的8倍,画出前20个符号对应的波形(同时给出前20位信源序列);C. 改用HDB3码,画出前20个符号对应的波形;D. 改用密勒码,画出前20个符号对应的波形;E. 分别对上述1000个符号的波形进行功率谱估计,画出功率谱;F. 改变信源“0”的概率,观察AMI码的功率
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-15
    • 文件大小:59392
    • 提供者:郭慧勤
  1. enc

    0下载:
  2. HDB3编码器 使用VHDL编制 对于基带传输很有用的程序-HDB3 encoder using VHDL preparation for baseband transmission useful procedure
  3. 所属分类:编辑框

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:ls
  1. danpianji1

    0下载:
  2. NRZ-HDB3的码型转换,分为三部分,每一部分都有详细C程序,原理图稍后传上。-NRZ-HDB3 the type of code conversion, divided into three parts, each have detailed procedures C, schematics Chuan later on.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:孔梅
  1. hdb3_VHDL

    0下载:
  2. hdb3 using language VHDL-Indoor using VHDL language
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:54272
    • 提供者:王锋
  1. hdb300

    0下载:
  2. HDB3编码解码系统,我自己做的。 HDB3编码解码系统,我自己做的。-HDB3 codec system, I do for myself. HDB3 codec system, I do for myself.
  3. 所属分类:通讯编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:
  1. hdb3_verilog

    0下载:
  2. modelsim工程,用verilog实现的HDB3编码,以及测试程序testbench-modelsim works with verilog realized HDB3 coding, and testing procedures testbench
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:22528
    • 提供者:chengroc
  1. XC9572shixianHDB3bianma

    0下载:
  2. 用XC9572实现HDB3编解码设计 用XC9572实现HDB3编解码设计-using XC9572 achieve HDB3 CODEC designed for XC9572 achieve HDB3 CODEC Design With XC9572 achieve HDB3 CODEC Design
  3. 所属分类:书籍源码

    • 发布日期:2024-05-15
    • 文件大小:136192
    • 提供者:
  1. jiyuCPLDdeHDB3bianmaqi

    0下载:
  2. 基于cpld的hdb3编码器 基于cpld的hdb3编码器-hdb3 coding is based on the cpld hdb3 cpld coding is based on the h db3 Encoder
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:156672
    • 提供者:
  1. amicmi

    0下载:
  2. 进入MatLab程序运行界面后,运行程序,界面会出现请输入NRZ玛,输入方式按数组方式输入,确认输入的NRZ码之后,程序会自动输出 CMI,AMI,HDB3的编码和解码的结果,可以清晰的对照出编码前后的结果。-into MatLab interface operating procedures, operating procedures, the interface will enter emerging NRZ Mary, by th
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:戴为
  1. HDB3

    0下载:
  2. 我的作业 请大家批评指正 我的作业 请大家批评指正 -I please correct me I criticized the operation Please correct me I criticized the operations criticized please correct me Operating I please correct me I criticized the operation Please correct
  3. 所属分类:matlab例程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:
  1. bianma

    0下载:
  2. 通信原理课程设计软件部分,用vb实现曼彻斯特编码,HDB3编码和PCM13编码,并显示编码图形-Communication Theory course design software, using vb realize Manchester encoding, HDB3 encoding and encoding PCM13, and display graphics encoding
  3. 所属分类:通讯编程

    • 发布日期:2024-05-15
    • 文件大小:64512
    • 提供者:姜飞
  1. HDB3code

    0下载:
  2. 光纤通信中的编码方式hdb3,编解码的vhdl实现-Optical fiber communications in encoding hdb3, codec realize the VHDL
  3. 所属分类:通讯编程

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:*
  1. HDB3byVHDL

    0下载:
  2. 基于VHDL语言的HDB3码编译码器的设计 HDB3 码的全称是三阶高密度双极性码,它是数字基带传输中的一种重要码型,具有频谱中无直流分量、能量集中、提取位同步信息方便等优点。HDB3 码是在AMI码(极*替转换码)的基础上发展起来的,解决了AMI码在连0码过多时同步提取困难的问题-Based on the VHDL language code HDB3 codecs design HDB3 code name is the t
  3. 所属分类:中间件编程

    • 发布日期:2024-05-15
    • 文件大小:257024
    • 提供者:liangtao
  1. weiwei

    0下载:
  2. 课程设计HDB3编码系统的设计与仿真设计-Curriculum design HDB3 coding system design and simulation design
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:148480
    • 提供者:滕莹
  1. xin

    0下载:
  2. 同属HDB3编译码器系统的课程设计与仿真-HDB3 codecs belong to the same system of course design and simulation
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:147456
    • 提供者:滕莹
  1. HDB3bianjiema

    0下载:
  2. 关于HDB3码的一种新的编解码形式,可以有CPLD实现。-HDB3 code on a new form of codec, you can realize has CPLD.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:32768
    • 提供者:李国
  1. HDB3_Code

    0下载:
  2. 在Matlab平台上实现的HDB3编码的函数,接口简单,使用方便可靠-In Matlab platform realize the HDB3 encoding function, interface is simple, easy to use and reliable
  3. 所属分类:matlab例程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:Kai
  1. report

    0下载:
  2. 数字通信仿真报告,单极性,双极性码,HDB3、AMI码等波形及报告-Digital communication simulation report, unipolar, bipolar code, HDB3, AMI code and reports, such as waveform
  3. 所属分类:打印编程

    • 发布日期:2024-05-15
    • 文件大小:300032
    • 提供者:yew
  1. tx

    0下载:
  2. 关于通信原理课程设计中HDB3编解码的一个VERILOG源代码-Principles of curriculum design on the communications HDB3 codec in a Verilog source code
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:150528
    • 提供者:小亮
« 1 2 3 45 6 7 8 9 10 ... 15 »

源码中国 www.ymcn.org