搜索资源列表

  1. hdb3

    0下载:
  2. hdb3码编码器 基于fpga的hdb3码编码器 运行可行 并且已经在板子上调试过-hdb3 code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:4096
    • 提供者:胡用
  1. HDB3

    0下载:
  2. hdb3码编解码仿真,hdb3码的编解码程序,会自动合成图-hdb3 encoding and decoding simulation
  3. 所属分类:文档资料

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:陈晨
  1. HDB3

    0下载:
  2. 通信中的HDB3编码的仿真建模,仿真程序建模!-Communication HDB3 coding simulation modeling, simulation modeling program!
  3. 所属分类:书籍源码

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:yuanxingmeng
  1. HDB3

    0下载:
  2. hdb3键盘接口VHDL程序,经过严格仿真,很有参考价值。-HDB3 VHDL keyboard interface program, after a rigorous simulation, of great reference value.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:260096
    • 提供者:崔凯华
  1. hdb3

    0下载:
  2. decode hdb3,对一个hdb3码进行译码运算- decode hdb3
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:zengshuting
  1. hdb3

    0下载:
  2. 将普通码元编译成hdb3码的程序,使用matlab环境编译,希望对大家有所帮助。-the program which changes the origin mode to hdb3
  3. 所属分类:matlab例程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:张紫
  1. HDB3

    0下载:
  2. HDB3码 连“0”个数计数 V脉冲位置记录变量B脉冲位置记录变量 让0000的最后一个0改变为与前一个非零符号相同极性的符号-Even HDB3 code " 0" count the number of pulse position record variable B V pulse position to make 0000 a record variable is changed to 0 and the la
  3. 所属分类:压缩解压

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:hqx
  1. HDB3-encoderauncoder

    0下载:
  2. HDB3编码器与解码器,以及RTL图,使用Verilog HDL实现-HDB3 encoder and decoder, and RTL diagram, use Verilog HDL to implement
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:184320
    • 提供者:zhouyu
  1. HDB3

    0下载:
  2. 大三学生完成 基于VHDL的HDB3编码器设计-HDB3 encoder juniors complete VHDL-based design
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:243712
    • 提供者:liaoliao
  1. hdb3

    0下载:
  2. hdb3编解码程序,非常简洁好用,欢迎下载-hdb3 codec program is very simple to use, welcome to download
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:田勇
  1. HDB3

    0下载:
  2. 生成一个随机序列,然后对其进行HDB3编码,最后对其解码,显示出来-Generating a random sequence, then subjected HDB3 encoding, and finally decodes, displayed
  3. 所属分类:C#编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:李梦奇
  1. HDB3-coding

    0下载:
  2. 主要是HDB3编程一些资料,内含一个MATLAB实现HDB3的实验报告-The main program is HDB3 some information, containing a MATLAB implementation HDB3 lab reports
  3. 所属分类:C#编程

    • 发布日期:2024-05-15
    • 文件大小:57344
    • 提供者:李梦奇
  1. HDB3-VHDL-code

    0下载:
  2. HDB3的VHDL语言描述,注释在文件内-HDB3 source code in VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:264192
    • 提供者:冰凝
  1. hdb3

    0下载:
  2. 使用FPGA将伪随机码转换成DHB3吗,及解码HDB3码-encode and decode hdb3 using verilog HDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:578560
    • 提供者:杨洪吉
  1. HDB3

    0下载:
  2. verilog hdb3 encode decode
  3. 所属分类:串口编程

    • 发布日期:2024-05-15
    • 文件大小:198656
    • 提供者:胡必成
  1. hdb3

    0下载:
  2. hdb3译码基于quartus ii 程序 基于vhdl语言编写 利用quartus7.2 进行仿真-hdb3译码基于quartus ii 程序
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:陈哈
  1. AMI-HDB3-Miller-Code

    0下载:
  2. AMI HDB3 Miller Code,AMI码和HDB3码和miller码的仿真比较
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:160768
    • 提供者:zhy
  1. HDB3

    0下载:
  2. HDB3编码解码的简单算法实现,仅用于初学者对HDB3码的编码解码过程的理解-Simple arithmetic for the encode and decode of HDB3 code, only for beginners to understand and learn the algorithm
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:150528
    • 提供者:Penrose Wang
  1. HDB3-

    0下载:
  2. 用汇编语言对HDB3码进行编码,并可以用示波器进行观察。-The HDB3 code is encoded in assembly language and can be viewed with an oscilloscope.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:杨银松
  1. HDB3

    0下载:
  2. Matlab仿真HDB3编码解码通信过程-Matlab simulation HDB3 encoding and decoding communication process
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:3072
    • 提供者:申倞宇
« 1 2 3 4 5 6 7 89 10 11 12 13 14 15 »

源码中国 www.ymcn.org