搜索资源列表

  1. vc_pixregistrate

    1下载:
  2. 图像配准的vc源码,可对图像进行模板配准和基于canny边界搜索的配准-Image Registration of vc source code can be a template image registration and search based on canny border Registration
  3. 所属分类:2D图形编程

    • 发布日期:2024-05-15
    • 文件大小:272384
    • 提供者:skygon
  1. CHAPTER6

    0下载:
  2. 本文对鲁棒控制基准设计问题倒立摆控制进行了 仿真研究-In this paper, robust control design problems in the baseline control of inverted pendulum simulation
  3. 所属分类:matlab例程

    • 发布日期:2024-05-15
    • 文件大小:94208
    • 提供者:陆振华
  1. LC3-VHDL-another

    0下载:
  2. 另一套LC3 CPU VHDL源码及设计文档,对LC3进行了一些取舍和改造,比如NZP改为NZC,更贴近现实CPU硬件架构。按照ASM进行VHDL编码,更适合数字设计初学者学习。-Another set of LC3 CPU VHDL source code and design documents, of LC3 to a number of trade-offs and transformation, such as NZP cha
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:808960
    • 提供者:guo
  1. DIBAPI

    0下载:
  2. 车牌识别系统图像处理函数,包括二值化,边缘检测和定位算法-License Plate Recognition system of image processing functions, including binarization, edge detection and localization algorithm
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-15
    • 文件大小:7168
    • 提供者:wyl
  1. ait_centroid

    0下载:
  2. Function takes a picture as an argument (suitably should contain only one object whose centroid is to be obtained) and returns the x and y coordinates of its centroid.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:炯炯
  1. BloodVessel

    0下载:
  2. 血管分割matlab代码,主要实现眼部血管的分割-Vascular segmentation matlab code, the main division of the eye blood vessels
  3. 所属分类:matlab例程

    • 发布日期:2024-05-15
    • 文件大小:45056
    • 提供者:炯炯
  1. liov

    0下载:
  2. 时域矩量法解线天线辐射问题和散射场问题,计算结果为某分段上电流随时间变化的曲线-Time-domain moment method solution of wire antenna radiation problems and scattering problems, the calculation results for a sub-current of the time-varying curve
  3. 所属分类:其他行业

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:学生
  1. Dijkstra

    0下载:
  2. 单源最短路径问题:给定带权有向图G=(V,E)。给定V中的一个顶点v,称为源。要计算从源到所有其它各顶点的最短路径长度。-Single-source shortest path problem: a given weighted directed graph G = (V, E). Given a vertex V in v, known as the source. To calculate from the source to al
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:忠波
  1. electronic_organ

    0下载:
  2. 一个用汇编语言开发的电子琴源代码,基于硬件实现的,实现演奏高低旋律,可存储的,实时灯光响应等。-An assembly language with the development of organ source code, based on the hardware implementation to achieve the level of tune performances can be stored and real-time r
  3. 所属分类:汇编语言

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:lisa
  1. mimo

    0下载:
  2. Capacity of a MIMO channel with nt transmit antenna and nr recieve antenna is analyzed. The power in parallel channel (after decomposition) is distributed as water-filling algorithm
  3. 所属分类:matlab例程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:yy
  1. PracticalQuiz1

    0下载:
  2. SSd8的PQ1,有需要的同学可以下来参考参考-SSd8 of PQ1, those students in need can be down reference reference
  3. 所属分类:Web服务器

    • 发布日期:2024-05-15
    • 文件大小:12288
    • 提供者:Ethan
  1. STUDY1

    0下载:
  2. 300 km 传输线和功率补偿仿真 The circuit below represents an equivalent power system feeding a 300 km transmission line. The line is compensated by a shunt inductor at its receiving end. A circuit breaker allows energizing and de
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:6144
    • 提供者:楚燕
  1. PWM2

    0下载:
  2. PWM 变频调速FFT分析 use the FFT tool of Powergui to display the frequency spectrum of voltage and current waveforms. -FFT analysis of PWM VVVF use the FFT tool of Powergui to display the frequency spectrum of voltage and curre
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:11264
    • 提供者:楚燕
  1. moshou

    0下载:
  2. 魔兽世界内部主要的源码。还算详细。对写这个游戏的外挂有助-World of Warcraft within the main source. Fairly detailed. To write the game
  3. 所属分类:模拟服务器

    • 发布日期:2024-05-15
    • 文件大小:4465664
    • 提供者:李灵
  1. symbian-develop-tutorilal

    0下载:
  2. Symbian OS C++移动应用开发讲义,发扬共享原则,免费-Symbian OS C++ Mobile application development handouts, to promote shared principles, free of charge
  3. 所属分类:Symbian

    • 发布日期:2024-05-15
    • 文件大小:3258368
    • 提供者:chuanliang
  1. aerosimblock

    0下载:
  2. aerosim仿真模块,有完整的六自由度飞机模型-aerosim simulation module, a complete six degree of freedom aircraft model
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:13662208
    • 提供者:fanxiaopeng
  1. baheyouxiji

    0下载:
  2. 本程序利用VHDL语言实现拔河游戏机的功能-This procedure using VHDL language realize the function of tug-of-war game
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:754688
    • 提供者:wangjiabin
  1. nmf

    0下载:
  2. 基于非负矩阵分解(NMF)的人脸特征提取算法,NMF基本思想是找到一个线性子空间W,使的构成子空间的基本图像的像素点都是正值,而且人脸图像在子空间上的投影系数也是正数-Non-negative Matrix Factorization (NMF) of facial feature extraction algorithm, NMF basic idea is to find a linear sub-space W, so that
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:李伟
  1. 81fbbc73-a9f1-4b51-b675-42139b4bb253

    0下载:
  2. 电子万年历设计.1) 显示年月日时分秒及星期信息。 2) 具有可调整日期和时间功能 -Design of electronic calendar .1) shows date when the information accurate and weeks. 2) the date and time of adjustable features
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:388096
    • 提供者:王昆明
  1. yichuansuanfa

    0下载:
  2. 利用遗传算法寻优。待寻优函数为y=xx,参数变化范围为0-31。-The use of genetic algorithm optimization. Optimization function to be y = xx, the parameters for the 0-31 range.
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:崔艳
« 1 2 ... 43 44 45 46 47 4849 50 »

源码中国 www.ymcn.org