搜索资源列表

  1. N_counter_VHDL

    0下载:
  2. 任意N进制分频器的标准VHDL代码(原创)-arbitrary N divider 229 standard VHDL code (original)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:汤维
  1. VHDL_PLL

    0下载:
  2. 介绍了锁相环PLL的实现原理,可以为VHDL实现PLL提供参考。-introduced PLL PLL The principle for VHDL PLL reference.
  3. 所属分类:软件工程

    • 发布日期:2024-05-18
    • 文件大小:96256
    • 提供者:CGT
  1. POC

    0下载:
  2. 基于VHDL的POC接口控制器,用于CPU与打印机间的数据控制-based on the POC VHDL interface controller, CPU and printer for the data control
  3. 所属分类:中间件编程

    • 发布日期:2024-05-18
    • 文件大小:83968
    • 提供者:marscr
  1. NAND01GR3B_VH1

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:132096
    • 提供者:chen
  1. cordic.tar

    0下载:
  2. cordic程序的VHDL程序源码及说明,有详细的说明,程序有注释-cordic procedures procedures VHDL source code and explanations are detailed explanations, procedures Notes
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:93184
    • 提供者:mh
  1. maxII16_cpu

    0下载:
  2. maxII16_cpu,altera的maxII系列的16位cpu-maxII16_cpu, altera the maxII series of 16 cpu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:220160
    • 提供者:lrt
  1. interpolation_FIR

    0下载:
  2. Interpolation FIR Design Example for Stratix Devices
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:24576
    • 提供者:Jack
  1. lcd1621

    0下载:
  2. 在LCD上显示事先就输入好的字符,可以任意改变的-displayed on the LCD on the admission of prior good character, can be arbitrarily changed by the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:420864
    • 提供者:dragon
  1. my_pll

    0下载:
  2. VHDL程序,使用锁相法实现位同步的算法,并可以对算法进行仿真-VHDL, the use of lock-in-law to achieve the synchronization algorithm, the algorithm can be simulated
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:笑容
  1. RAM_VHDL_34

    0下载:
  2. RAM之VHDL描述 RAM之VHDL描述-RAM's VHDL descr iption RAM's VHDL descr iption RAM's VH DL described in VHDL's RAM
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:5120
    • 提供者:
  1. MACVHDL

    0下载:
  2. 一个网络控制的物理层控制程序,-a network control of the physical layer control procedures,
  3. 所属分类:并行运算

    • 发布日期:2024-05-18
    • 文件大小:8192
    • 提供者:zlw
  1. EXPT12_10_PHAS_PLL1

    0下载:
  2. VHDL 实现DDS的数字移相信号发生器的设计代码.直接解压打开就可以运行..自己写的代码-VHDL shifter DDS signal generator design code. Directly extract can run on open .. write their own code
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-18
    • 文件大小:119808
    • 提供者:haiou
  1. DS18B20+VHDL

    0下载:
  2. 用VHDL语言实现的控制DS18B20构成测温仪表的程序,包含了全部代码,可显示最高精度-with VHDL control DS18B20 constitute Thermometer procedures, contains all the code will show that the most high-precision
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:818176
    • 提供者:刘西圣
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the unde
  3. 所属分类:并行运算

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:张瑞
  1. 74LS138

    0下载:
  2. 用VHDL 语言描述度三线八线译码器,其开发均在FPGA中-using VHDL descr iption line 8 3 degrees decoder lines, the development is in FPGA
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:111616
    • 提供者:侯同
  1. ds18b20

    0下载:
  2. 基于VHDL写的DS18B20的驱动,简单版本-based on VHDL write DS18B20 driven, simple version
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:299008
    • 提供者:zhaoyang
  1. spi

    0下载:
  2. VHDL 实现的SPI接口,在Altera EMP7128 上应用过-VHDL SPI interface, the application of Altera EMP off
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:陈同
  1. tlc5620

    0下载:
  2. 这是一个用vhdl写的控制VGA的源程序,可以显示6种不同的图案,你也可以显示图象-This is a control written using VHDL VGA source, you can display 6 different designs, you can also display images
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:230400
    • 提供者:浪子
  1. ddr

    0下载:
  2. ISE MIG1.6 生成的DDR SDRAM控制器代码(含TESHBENCH)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1022976
    • 提供者:yuling
  1. spi

    0下载:
  2. VHDL实现SPI功能源代码 -- The SPI bus is a 3 wire bus that in effect links a serial shift -- register between the "master" and the "slave". Typically both the -- master and slave have an 8 bit shift register so the combine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:65536
    • 提供者:阿飞
« 1 2 34 5 6 7 8 9 10 ... 21 »

源码中国 www.ymcn.org