搜索资源列表

  1. 示例(vhdl)

    1下载:
  2. vhdl 实例 通过实例学习vhdl 编程-VHDL examples examples to learn VHDL programming
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:78076
    • 提供者:joan
  1. VHDL实例

    0下载:
  2. 各种常用模块的VHDL描叙实例,PDF格式-various modules used VHDL depicts examples, PDF format
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:169410
    • 提供者:付杰
  1. VHDL电路设计_例子代码

    0下载:
  2. VHDL语言应用实例
  3. 所属分类:编辑

  1. 电机pid的vhdl代码

    0下载:
  2. 《直流步进电机控制器实例(VHDL源代码)
  3. 所属分类:源码下载

    • 发布日期:2011-05-04
    • 文件大小:4281
    • 提供者:supzct
  1. VHDL设计61例

    0下载:
  2. VHDL实例68例
  3. 所属分类:VHDL编程

    • 发布日期:2011-06-20
    • 文件大小:169159
    • 提供者:luo491210449
  1. 计数器:generate语句的应用

    0下载:
  2. VHDL语言应用实例,计数器的设计,用GENERATE语句实现-VHDL example, counter design, realization GENERATE statement
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:刘杰
  1. VHDL语言100例详解

    0下载:
  2. VHDL语言100例详解。详细讲解了用VHDL语言进行数字电路和数字系统设计的知识。用100个实例,不仅进行基础的门电路设计,而且还有较为复杂的数字系统设计。这些实例可以直接被调用。-VHDL Elaborates on 100 cases. Detailed account of VHDL for digital circuits and digital systems design knowledge. With 100 examp
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:6633472
    • 提供者:穆群生
  1. VHDL范例

    0下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:43008
    • 提供者:kerty
  1. VHDL_100Examples

    0下载:
  2. 北京里工大学ASIC设计研究所的100个 VHDL程序设计例子-Beijing University Institute of ASIC design hundred examples of VHDL Design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:198656
    • 提供者:韩红
  1. 100examples

    0下载:
  2. 一些简单的VHDL实例,主要是介绍一些基本逻辑们及一些组合、时序电路的例子,供大家参考-Some simple examples of VHDL, mainly to introduce some basic logic and some combination of sequential circuit examples for your reference
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:17049600
    • 提供者:吴尚峰
  1. VHDL

    0下载:
  2. 几个VHDL的编程实例-Several examples of VHDL programming
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:3303424
    • 提供者:张宇辉
  1. VHDL

    0下载:
  2. VHDL编程实例,使用的实例介绍了不少有用的函数。对初学者有帮助。-VHDL programming examples, using examples introduce a number of useful functions. Helpful for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:168960
    • 提供者:fff
  1. 100vhdl

    0下载:
  2. 100个丰富的vhdl实例,可以找到很多可以借鉴的地方,绝对有益与硬件编程-100 rich VHDL example, you can find a lot of places can draw absolutely useful programming and hardware
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:318464
    • 提供者:朱康伟
  1. VHDL-pansong

    0下载:
  2. VHDL实用教程(潘松),非常经典讲解VHDL语言,包含基本语法及实例。-VHDL Practical Guide (Pan Song), is a classic on the VHDL language, including basic grammar and examples.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:4752384
    • 提供者:辛小怡
  1. vhdl1

    0下载:
  2. VHDL实例应用的经典,大家学习必看的书籍。-VHDL classic example of the application, see U.S. study books.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2982912
    • 提供者:邵广建
  1. vhdl180

    0下载:
  2. VHDL实例,对于初学者有用,从别处转来的-VHDL example, useful for beginners, transferred elsewhere
  3. 所属分类:行业应用软件

    • 发布日期:2024-05-15
    • 文件大小:112640
    • 提供者:保密
  1. vhdl100

    0下载:
  2. VHDL实例,有近百个实例,都是可以在quarturs 上进行仿真的,大部分都可以通过,对初学者是一非常不错的-VHDL example, there are nearly a hundred examples, can be carried out in quarturs simulation, most of them can pass, for beginners is a very good
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:86016
    • 提供者:ispsubb
  1. VHDL_CXSL.PDF.tar

    0下载:
  2. vhdl实例教程 数字电路EDA入门--VHDL程序实例集-VHDL example of digital circuit EDA Getting Started Tutorial- VHDL instance set
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:3001344
    • 提供者:000
  1. vhdl

    0下载:
  2. 一本介绍VHDL的书,讲的非常详细,有大量实例,一本很不错的参考资料-A VHDL descr iption of the book, spoke in great detail, there are a large number of instances, a very good reference for
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:24856576
    • 提供者:alvin
  1. VHDL

    0下载:
  2. 各种基本的VHDL实例,可以用来参考学习,希望能够帮到大家!-Examples of the basic VHDL can be used to refer to learning, want to help everyone!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:6324224
    • 提供者:吴斌
« 1 23 4 5 6 7 8 9 10 ... 27 »

源码中国 www.ymcn.org