搜索资源列表

  1. VHDL_Examples_for_education

    0下载:
  2. VHDL代码编程,集合了众多优秀的实例,胜过任何一本书的例子,作为教学或程序开发中调用非常合适!-VHDL code programming, a combination of a large number of outstanding examples are better than any one book's examples, as a teaching program or call very appropriate!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:59392
    • 提供者:赵康
  1. jiyuVHDLdeIPheyanzheng

    0下载:
  2. 摘要 探讨了IP 核的验证与测试的方法及其和 VHDL语言在 IC 设计中的应用 并给出了其在RISC8 框架 CPU 核中的下载实例.-Abstract IP nuclear testing and certification of the method and its VHDL and in IC Design and Application given its RISC8 fr a mework in the CPU core d
  3. 所属分类:文件格式

    • 发布日期:2024-05-15
    • 文件大小:118784
    • 提供者:赵天
  1. 200622810562278741

    0下载:
  2. 基与vhdl语言实现1602LCM液晶显示屏的驱动函数和实例-Base with the VHDL language 1602LCM LCD driver functions and examples
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:15360
    • 提供者:lele
  1. xiaoche

    0下载:
  2. 用VHDL编程的智能寻迹小车.驱动电机沿黑线运动,转弯的时候有灯显示.可以综合,实际硬件调试通过.是学习VHDL的很好实例-VHDL programming smart tracking.The car. Electric drives along the black line campaign turning the lights are shown. can comprehensive, practical hardware deb
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:92160
    • 提供者:张岚
  1. s_pandp_s

    0下载:
  2. 用VHDL编写的并串转换和串并转换实例,希望对您有所帮助,其中输入数据是时钟的16倍-prepared using VHDL and string conversion and string conversion and examples, and I hope to help you, the input data which is 16 times the clock
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:zhou
  1. vhdldata

    0下载:
  2. 很不错的vhdl学习实例 几十个编程事例 轻松上手-very good examples of VHDL learning dozens of examples of programming easy to get started
  3. 所属分类:串口编程

    • 发布日期:2024-05-15
    • 文件大小:8000512
    • 提供者:燕冥
  1. VisualBasic_comm

    0下载:
  2. 一个VB控制串口的实例,可以给利用VB基于RS232开发监控、设置等程序提供参考-VB control of a serial example, can use Visual Basic to develop based on the RS232 control, the procedures set up to provide reference
  3. 所属分类:通讯编程

    • 发布日期:2024-05-15
    • 文件大小:4851712
    • 提供者:马建新
  1. EDA_miaobiao

    0下载:
  2. 《数字电路EDA入门-VHDL程序实例》---数字秒表程序例子-"digital circuit EDA portal-VHDL program examples"-- digital stopwatch procedures example
  3. 所属分类:matlab例程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:张文
  1. VHDL_100_example

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:6633472
    • 提供者:曾某人
  1. ledleft

    0下载:
  2. xilinx的SPARTAN-3E入门开发板实例 根据官方公布的led移动范例改写。 原范例仅提供了源代码、烧写文件以及dos窗口下使用的烧写bat文件。 本实例采用了ise7.1i创建,在ise下重建整个工程,有助于初学者理解使用。-xilinx the SPARTAN-3E portal development board examples According to the official announcement l
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:393216
    • 提供者:韩兆伟
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Genera
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:457728
    • 提供者:王天
  1. VerilogHDLchinapub

    0下载:
  2. Verilog HDL硬件描述语言 01简介.PDF 02HDL指南.PDF 03语言要素.PDF 04表达式.PDF 05门电平模型化.PDF 06用户定义原语.PDF 07数据流模型化.PDF 08行为建模.PDF 09结构建模.PDF 10其它论题.PDF 11验证.PDF 12建模实例.PDF 13语法参考.PDF-Verilog HDL Hardware Descr ipti
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:4837376
    • 提供者:
  1. vhdl111

    0下载:
  2. 很好的VHDL源码,里面有不少实用的实例!-good VHDL source code, which has many practical examples!
  3. 所属分类:书籍源码

    • 发布日期:2024-05-15
    • 文件大小:256000
    • 提供者:宁新
  1. FPGA_27eg

    0下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调
  3. 所属分类:文件格式

    • 发布日期:2024-05-15
    • 文件大小:1278976
    • 提供者:
  1. vhdlcodes2

    0下载:
  2. FPGA/CPLD集成开发环境ISE使用详解实例-2-FPGA/CPLD integrated development environment IDE ISE examples-2
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:17408
    • 提供者:邓志斌
  1. vhdlcodes3

    0下载:
  2. FPGA/CPLD集成开发环境ISE使用详解实例-3-FPGA/CPLD integrated development environment IDE ISE example-3
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:74752
    • 提供者:邓志斌
  1. vhdlcodes4

    0下载:
  2. FPGA/CPLD集成开发环境ISE使用详解实例-4-FPGA/CPLD integrated development environment IDE ISE example-4
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:149504
    • 提供者:邓志斌
  1. vhdlcodes5

    0下载:
  2. FPGA/CPLD集成开发环境ISE使用详解实例-5-FPGA/CPLD integrated development environment IDE ISE example-5
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:152576
    • 提供者:邓志斌
  1. vhdlcdes6

    0下载:
  2. FPGA/CPLD集成开发环境ISE使用详解实例-6-FPGA/CPLD integrated development environment IDE ISE example-6
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:19456
    • 提供者:邓志斌
  1. Multisim_circle

    0下载:
  2. Multisim 8可以将不同类型的电路组成混合电路进行仿真,界面直观,操作方便。本文先研究Multisim 8的操作方法及其应用实例。之后分别根据交通灯系统和出租车计价器系统的设计要求提出其改进的系统实施方案。然后根据两系统的改进方案,分别设计其数据子系统和控制子系统,并画出实现的电原理图,其中部分模块用VHDL语言实现。最后在Multisim 8中进行仿真,验证两系统的改进方案均正确实现了各自设计要求中的诸项功能。-Multisim
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:7665664
    • 提供者:
« 1 2 3 4 5 6 78 9 10 11 12 ... 27 »

源码中国 www.ymcn.org