搜索资源列表

  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器......
  3. 所属分类:书籍源码

    • 发布日期:2024-05-15
    • 文件大小:336896
    • 提供者:
  1. yibuchuanxingtongxinshili

    0下载:
  2. 异步通信串行口设计实例 做异步串行通信的可以用来参考一下-asynchronous serial communication design examples do asynchronous serial communications can be used to refer to
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:228352
    • 提供者:sunny_girl
  1. 10vhdlexamples

    0下载:
  2. 10个VHDL程序实例,包括加法器,全加器、函数发生器,选择器等。-10 examples of VHDL procedures, including the adder, full adder, function generator, selector and so on.
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:41984
    • 提供者:petri
  1. codeacq

    0下载:
  2. 扩频接收机设计实例,vhdly源代码!大家下载下来吧,在ise中调试通过-Spread spectrum receiver design example, vhdly source code! Everyone download it, in the ise of debugging through
  3. 所属分类:RFID编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:萧勇
  1. ICL7135

    0下载:
  2. 双口RAM程序实例-Dual-port RAM instance
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-15
    • 文件大小:15360
    • 提供者:赖永仲
  1. 5_3548

    0下载:
  2. vhdl的100个实例,应用于嵌入式系统,对编程者有帮助-VHDL of 100 examples, applied to the embedded system programmers help
  3. 所属分类:电子书籍

    • 发布日期:2024-05-15
    • 文件大小:6867968
    • 提供者:yugaoshang
  1. even_odd

    0下载:
  2. VHDL实现的奇偶校验功能模块和一个外设配置寄存器的设计实例。-VHDL achieved parity peripheral function modules and a design example of the configuration register.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:蒋大为
  1. VHDL_Examples

    0下载:
  2. VHDL程序实例集——电子设计自动化(EDA)入门工具书-Examples of VHDL procedures set- electronic design automation (EDA) tools for the book entry
  3. 所属分类:电子书籍

    • 发布日期:2024-05-15
    • 文件大小:2982912
    • 提供者:陨星
  1. 83007

    0下载:
  2. VHDL设计实例 VHDL设计实例-VHDL Design Example VHDL Design Example
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:40960
    • 提供者:
  1. 100Examples

    0下载:
  2. 该源码为用VHDL(硬件描述语言)编写的100个实例的源代码,是学习VHDL的绝好资源。软件环境为maxplus10.2及以上版本或Quartus2。-The source for the use of VHDL (Hardware Descr iption Language) preparation of the 100 examples of the source code, is an excellent resource to
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:209920
    • 提供者:gung66
  1. VHDLandDigitalCircuitDesign

    0下载:
  2. 本书系统地介绍了一种硬件描述语言,即VHDL语言设计数字逻辑电路和数字系统的新方法。这是电子电路设计方法上一次革命性的变化,也是迈向21世纪的电子工程师所必须掌握的专门知识。本书共分12章,第l章---第8章主要介绍VHDL语言的基本知识和使用VHDL语言设计简单逻辑电路的基本方法;第9章和第10章分别以定时器和接口电路设计为例,详述了用VHDL语言设计复杂电路的步骤和过程;第11章简单介绍了VHDL语言93版和87版的主要区别;第12
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:18693120
    • 提供者:qinlei
  1. traffic

    0下载:
  2. Verilog hdl开发的交通灯完整实例,是初学者学习fpga的典型例子-Verilog hdl developed a complete example of traffic lights is a beginner to learn a classic example of FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:364544
    • 提供者:heilongjiang
  1. asdf

    0下载:
  2. EDA常用计数函数VHDL程序设计,基于VHDL的交通灯设计实例&分频器-EDA common counting function VHDL program design, based on the VHDL design example of the traffic lights
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:665600
    • 提供者:lzh
  1. EDAdesign(1)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷1是EDA技术综合应用设计基础 -The document is on a number of VHDL source code in many programming examples and analysis, in the hope that useful VHDL enthusiasts. Volume 1 is the comprehensive
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:6437888
    • 提供者:shengm1
  1. EDAdeisgn(2)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷2实例包括:多路彩灯控制器的设计与分析、智力抢器的设计与分析、微波炉控制器、数据采集控制系统、电梯控制器的设计与分析-The document is on a number of VHDL source code in many programming examples and analysis, in the hope that useful VHDL e
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:4952064
    • 提供者:shengm1
  1. EDAdesign(3)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷3包括车载DVD位控系统、直接数字频率合成器、图像边缘检测器、等精度数字频率计、出租车计费系统的设计与分析-The document is on a number of VHDL source code in many programming examples and analysis, in the hope that useful VHDL enthus
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:4392960
    • 提供者:shengm1
  1. EDAdesign(4)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷4包括低频数字相位测量仪、电压控制LC振荡器-The document is on a number of VHDL source code in many programming examples and analysis, in the hope that useful VHDL enthusiasts. Volume 4, including the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1815552
    • 提供者:shengm1
  1. FPGA_UART

    0下载:
  2. 异步通信串行口设计实例,很实用。比较经典。-Asynchronous serial port communications design example, it is practical. Comparison of the classic.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:493568
    • 提供者:王网
  1. vga_system

    0下载:
  2. FPGA读写SDRAM的实例,可以当作IPcore来添加,非常有价值的的程序。-FPGA examples SDRAM read and write, can be used as IPCore to add, a very valuable process.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:21392384
    • 提供者:陈泸华
  1. apps

    0下载:
  2. DM6446的codec engine 应用端算法源码,包括video,audio,speech等开发实例。-DM6446-side of the codec engine algorithm source applications, including video, audio, speech, such as the development of examples.
  3. 所属分类:DSP编程

    • 发布日期:2024-05-15
    • 文件大小:1067008
    • 提供者:bing
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 27 »

源码中国 www.ymcn.org