搜索资源列表

  1. SSA615

    0下载:
  2. 文档是关于WBDSO中源码的过程解释,程序是用VC++实现的,界面是一种示波器的形式。 功能是对方波,正弦波和三角波进行统计分析。-WBDSO documentation on the process which source explained that the procedure is used VC, oscilloscope interface is a form. The other function is to wave
  3. 所属分类:组合框控件

    • 发布日期:2024-05-19
    • 文件大小:2084864
    • 提供者:万力
  1. boxing

    0下载:
  2. 在VB环境下实现正弦波、方波、锯齿波、三角波等波形的转化-in VB environment under sine, square, ramp, triangle wave, such as waveform conversion
  3. 所属分类:波变换

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:刘为
  1. ddfs

    0下载:
  2. 我自己用vhdl实现编的dds,能实现正弦波,方波,三角波。-my own use VHDL to achieve series dds, able sine, square, triangle wave.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:87040
    • 提供者:黎明
  1. 20061228162233

    0下载:
  2. 在日常维修、教学和科研中, 信号发生器是不可缺少的工具, 采用单片函数发生器ICL 8038 制作的信号发生器,可同时输出方波、三角波和正弦波,频率调节范围大,正弦失真小,制作简单,价 格低廉,使用方便。 关键词: ICL 8038 单片集成压控波形发生器微调网络-the day-to-day maintenance, teaching and research, the signal generator is an ind
  3. 所属分类:技术管理

    • 发布日期:2024-05-19
    • 文件大小:28672
    • 提供者:LINLELE
  1. DDS_Power

    0下载:
  2. FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:16384
    • 提供者:田世坤
  1. edm12864p

    0下载:
  2. 用51控制,按键选择波形,外不中断0,正弦波,方波,三角波-With 51 control buttons to choose Waveform, outside the non-disruptive 0, sine wave, square wave, triangle wave
  3. 所属分类:中间件编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:王晓娣
  1. DA

    0下载:
  2. DA代码 经测试通过的c8051f020 DA代码 内有锯齿波, 正弦波, 三角波等产生的代码... -DA code has been tested and adopted c8051f020 DA code, there are sawtooth, sine wave, triangular wave generated by code such as ...
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-19
    • 文件大小:17408
    • 提供者:qiumh
  1. box

    0下载:
  2. 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。-VHDL language using FPGA-based waveform generator, using the procedures quartusII. 1602 liquid crystal display can show the current wav
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1708032
    • 提供者:zhg
  1. max538

    0下载:
  2. dds正弦波发生器,可产生各种波形,正弦波,三角波-dds sine wave generator can produce a variety of waveforms, sine wave, triangle wave
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:189440
    • 提供者:
  1. dac0832driver

    0下载:
  2. 基于DAC0832的多功能波形发生器,可以输出正弦波,方波,锯齿波,三角波-DAC0832-based multifunction waveform generator can output sine wave, square wave, sawtooth wave, triangle wave
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:xuhua
  1. signal-generator

    0下载:
  2. 这是一个信号发生器的labview源程序,模拟正弦波、三角波、方波等五种波形输出,频率、幅值、相位可调。 -This is a signal generator of the LabVIEW source code, analog sine wave, triangle wave, square wave output waveform five, frequency, amplitude, phase adjustable.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:10240
    • 提供者:pf6601
  1. AD9854

    0下载:
  2. dds AD9854波形发生三角波 方波正弦波-AD9854 waveform DDS happened sine wave wave square wave triangle
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:50176
    • 提供者:
  1. sanjiao

    0下载:
  2. 使用VHDL编写三角波输出,其中DA使用的是DAC902,一个12位的DA转换芯片(Use VHDL to write triangle wave output, including DA using DAC902, a 12 DA conversion chip)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:285696
    • 提供者:gemini48
  1. cfar

    1下载:
  2. 线性三角波连续调频毫米波雷达目标识别,单元平均算法,检测概率99%。(Radar target recognition)
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:90112
    • 提供者:@CJ
  1. 波形发生器protues仿真 PCF8591仿真源程序

    0下载:
  2. 波形发生器protues仿真 PCF8591仿真源程序 正弦波 三角波等可调(Waveform generator Protues simulation, PCF8591 simulation source code, sine wave, triangle wave and so on)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:163840
    • 提供者:beewu
  1. STM32-DAC学习(固定值-三角波)

    0下载:
  2. 解析STM32 中的DAC,重点介绍固定波与三角波。(The DAC in STM32 is analyzed, with emphasis on fixed wave and triangle wave.)
  3. 所属分类:编程文档

    • 发布日期:2024-05-19
    • 文件大小:48128
    • 提供者:无敌小黑
  1. experi_1

    0下载:
  2. 利用一个简单的双向计数器,使输出为三角波(Using a simple bidirectional counter, the output is a triangle wave)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1822720
    • 提供者:偶在旅途
  1. DA正弦波三角波输出测试51

    0下载:
  2. 通过改变单片机的DA输出电压,可以得到各种各样的电压波形输出,下面介绍产生正弦波形需送DA的数据是如何计算的。 首先既然是正弦波,那么就要确定要输出一个周期正弦波的采样点数point,即由多少点组成了一周期的正弦波,还要知道单片机输出DA的数字值maxnum是多少,比如 8位DA,maxnum=256。10位DA,maxnum=1024。 知道以上两个值后,就开始计算需要得到的正弦波DA数据了,我设置一
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:72704
    • 提供者:创客1992
  1. STM32F103ZET6任意频率正弦波+三角波工程

    0下载:
  2. STM32F103ZET6任意频率正弦波+三角波工程(STM32F103ZET6 arbitrary frequency sinusoidal wave + triangular wave Engineering)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:357376
    • 提供者:NOCELIANG
  1. 雷达FMCW

    1下载:
  2. 调频连续波雷达信号仿真,以及信号处理过程仿真,以三角波调制为例,代码编写规范,代码注释优秀。适合初学者以及急需FMCW信号仿真的用户(FMCW radar signal simulation, as well as signal processing simulation, take triangle wave modulation as an example, code writing is standard, code annota
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:zyg11
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

源码中国 www.ymcn.org