搜索资源列表

  1. DA

    0下载:
  2. 波形发生器啊 可以产生方波,锯齿波 三角波 正弦波-Ah waveform generator can produce square wave, sawtooth wave sine triangle
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:148480
    • 提供者:豪仔
  1. 333

    0下载:
  2. 1)能够输出锯齿波,三角波,正弦波,脉冲波. (2)能根据键盘命令进行波形之间的切换. (3)能对输出的波形频率进行调节。 -1) can output sawtooth, triangle wave, sine wave, pulse wave. (2) according to the keyboard command to switch between waveforms. (3) can adjust the out
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:55296
    • 提供者:朱亚楠
  1. 51DDS

    0下载:
  2. 用51单片机模拟dds产生正弦波,方波,三角波,幅度频率可控。-Dds generated using 51 MCU simulation sine, square, triangle wave, amplitude frequency control.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:26624
    • 提供者:王风存
  1. Multi-waveform-generator

    0下载:
  2. 51单片机,C语言写的,可以产生锯齿波,三角波,方波,正弦波。该工程文件在keil环境下编译,用proteus仿真通过。-can produce triangle wave, square wave, sine wave. The project is compiled under keil environment, and pass proteus simulation.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:225280
    • 提供者:jane
  1. FINALWORK

    0下载:
  2. 简易信号发生器 可产生正弦波、方波、三角波、锯齿波 周期可调 verilog-Simple signal generator can produce sine, square, triangle wave, sawtooth-cycle adjustable verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:tank tan
  1. matlab

    0下载:
  2. 函数信号发生器 可产生方波,三角波,正选拨,用示波器观察-Function signal generator can produce square, triangle wave, is selection, using the oscilloscope observation
  3. 所属分类:压缩解压

    • 发布日期:2024-05-19
    • 文件大小:5400576
    • 提供者:jackniha
  1. dream

    0下载:
  2. c52波形发射器,可产生三角波,方波,正弦波,锯齿波,频率,幅值可调-c52 wave transmitter, can produce triangle wave, square wave, sine wave, sawtooth wave, frequency, amplitude adjustable
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:66560
    • 提供者:luzhengdian
  1. VHDL

    0下载:
  2. 利用VHDL实现任意函数发生器,包括方波、正弦波、三角波等。-The use of VHDL to achieve arbitrary function generator, including square, sine wave, triangle wave and so on.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:39936
    • 提供者:陈海巍
  1. SIGNAL_GEN

    0下载:
  2. 利用EDA的VHDL硬件描述语言设计的函数信号发生器,可以产生递增、递减斜波,三角波,阶梯波,正弦波,方波-The use of EDA, VHDL hardware descr iption language design function of the signal generator can generate increased progressively decreasing ramp, triangle wave, step-
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:519168
    • 提供者:心心
  1. xiaobofenxi

    0下载:
  2. 小波工程主要包括一两部分:一、连续小波分析的应用,二、离散小波分析的应用。下面分两个部分分别说明这两个程序的分析结果: 一、连续小波分析: 所用信号为自己构造的三角波和正弦波叠加的信号:s=(-1).^(floor(n/50)+1).*(mod(n,50)-25)*2-(-1).^(floor(n/17)+1).*(mod(n,17)-8.5)*3+1*cos(n/2)*10。其中第一项(-1).^(floor
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:336896
    • 提供者:夏英杰
  1. oj

    0下载:
  2. 单片机信号发生器,正弦波、方波、三角波-jlkhfka kjksajkj kjkjkl kkj
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:myok
  1. dpjC

    0下载:
  2. 单片机P1.0脚输出频率范围1Hz-1000 Hz的正弦波、方波、三角波、梯形波、锯齿波,并用示波器观察。要求频率可调-MCU P1.0 pin output frequency range of 1Hz-1000 Hz sine wave, square wave, triangle wave, trapezoidal wave, sawtooth, and with the oscilloscope. Required freque
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:吴姗姗
  1. dsp

    0下载:
  2. 完成了任意信号的三角波,正弦波,方波的发生-Completion of any signal of the triangular wave, sine wave, square wave occurred
  3. 所属分类:DSP编程

    • 发布日期:2024-05-19
    • 文件大小:850944
    • 提供者:关友亮
  1. DSGsetup

    0下载:
  2. 具有产生正弦波、方波、三角波三种周期性的波形。 用键盘输入编辑生成上述三种波形(同周期)的线性组合波形,以及由基波及其谐波( 5次以下)线性组合的波形。 具有波形存储功能。 输出波形的频率为100Hz~20KHz(非正弦波频率按10次谐波计算):重复频率可调,频 率步进间隔≤100Hz。 输出波形幅度范围0~5V(峰-峰值),可按步进0.1V(峰-峰值)调整。 具有显示输出波形的类型、重复频率(
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:2322432
    • 提供者:王林
  1. signal_generator

    0下载:
  2. 一款简单的信号发生器的源程序,用来产生最基本的信号波形,如方波,正弦波,三角波等-A simple signal generator of the source, used to produce the basic waveforms, such as square wave, sine wave, triangle wave, etc.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:23552
    • 提供者:liu
  1. square1

    0下载:
  2. 产生了一个正弦波,方波,三角波的db—sc调整信号的频谱,实现过程等-Produced a sine wave, square wave, triangle wave db-sc adjustment signal spectrum, the realization process, etc.
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:沈伟
  1. wavelet03

    0下载:
  2. 程序生成正弦信号和三角波信号,对叠加信号进行一维小波变换(6层),重构6层逼近系数,并显示。-Program generates sine wave and triangular wave signals, superposition of signals of one-dimensional wavelet transform (6 layer), reconstruct 6 layer approximation coefficie
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:远鹏
  1. VB_signal_generator

    0下载:
  2. 产生0-150Hz,0-35V的方波、三角波、正弦波信号以及载波信号。设置5-60采样间距生成调频或调幅信号。-Produce 0-150Hz ,0-35V square wave, triangle wave, sine wave signal and the carrier signal. Set 5-60 FM or AM sampling interval generated signals.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:21504
    • 提供者:罗锴
  1. DDS

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -the functio
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:14336
    • 提供者:苏诗卧龙
  1. FCT

    0下载:
  2. 快速脊波变换,三角波,或者基波变换,没有什么其他要说明的了-fct-Fast Curvelet Transform.function C = FCT(x, is_real, nbscales, nbangles_coarse)
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:胡大帅
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »

源码中国 www.ymcn.org