搜索资源列表

  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Develo
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. multiplier

    0下载:
  2. 8*8乘法器及其测试:采用booth编码的乘法器:1. ultipler_quick_add_4 即4位的并行全加器,在这里主要起了两个作用:第一个是在求部分积单元时,当编码为3x时用来输出部分积;另外一个是在将部分积加起来时,求3到6位时所用到。 2. ultiplier_quick_add_5 即5位的并行全加器,这里用来分别计算积的7到11位和12到16位。 3. ultiplier_unit_4 这个模块是用
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:9989
    • 提供者:chenyi
  1. risc_cpu

    0下载:
  2. 8位risc cpu的编写,使用quartus软件对其进行写入,里面内置乘法器、除法器等模块
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:814814
    • 提供者:瑞翔
  1. mult

    0下载:
  2. 移位乘法器的输入为两个4位操作数a和b,启动乘法器由stb控制,clk信号提供系统定时。乘法器的结果为8位信号result,乘法结束后置信号done为1. 乘法算法采用原码移位乘法,即对两个操作数进行逐位的移位相加,迭代4次后输出结果。具体算法: 1. 被乘数和乘数的高位补0,扩展成8位。 2. 乘法依次向右移位,并检查其最低位,如果为1,则将被乘数和部分和相加,然后将被乘数向左移位;如果为0,则仅仅将被乘
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:130213
    • 提供者:良芯
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模
  3. 所属分类:书籍源码

    • 发布日期:2024-05-20
    • 文件大小:1580032
    • 提供者:xiao
  1. VHDL-based-8-bit-multiplier

    0下载:
  2. 基于VHDL的8位乘法器运算程序,运用移位迭代法运算得出-VHDL-based 8-bit multiplier operation procedures, the use of shift operations derived iterative method
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:周益驰
  1. 8bit-Shift-and-Adder--multiplier

    0下载:
  2. 8位乘法器,经移位相加算法来实现的,用的VHDL语言-8-bit multiplier, adding the algorithm to realize the shift of
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:584704
    • 提供者:Aaran
  1. add_tree_mult

    0下载:
  2. verilog HDL编写的8位乘法器,谢谢使用-the preparation of 8-bit multiplier verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:田甜
  1. MULT8

    0下载:
  2. 用移位相加的算法实现了8位乘法器,文档包含程序,并有详细分析过程-Shift algorithm with the sum of the 8-bit multiplier, the document contains procedures, and detailed analysis
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:41984
    • 提供者:sunflower
  1. Array_mul8

    0下载:
  2. 4位输入,8为输出列阵乘法器,列阵乘法器比之普通的移位乘法器具有更高的速度和更强的并行能力,且进一步升级十分方便。-4 input, 8 for the output array multiplier, array multiplier with higher speeds and greater parallelism than the ordinary shift multiplier, and further escalation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:781312
    • 提供者:李莫
  1. multiplier

    0下载:
  2. 8*8的乘法器,其中使用了门电路和全加器来实现的,全加器用以实现进位运算,-8* 8 multiplier, which uses the gate and full adder to implement the full adder to achieve binary operations
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:
  1. Desktop

    0下载:
  2. 包括3:8译码器,D触发器组成的8位寄存器,8位乘法器,41多路选择器。-Including 3:8 decoder, the D flip-flop composed of 8-bit registers, the 8-bit multiplier, 41 multiplexer selector.
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-20
    • 文件大小:88064
    • 提供者:张易讯
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a
  3. 所属分类:Windows编程

    • 发布日期:2024-05-20
    • 文件大小:3170304
    • 提供者:qtzx
  1. mul8bit_shift_add

    0下载:
  2. 移位相加8位乘法器,含有每个模块的详细说明-Shift and add 8-bit multiplier, and contains a detailed descr iption of each module
  3. 所属分类:软件工程

    • 发布日期:2024-05-20
    • 文件大小:199680
    • 提供者:fay
  1. VHDL-

    0下载:
  2. 8位相等比较器,布斯乘法器,以为寄存器的VHDL实现-Eight for phase comparator, Booth multiplier, that registers of VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:刘珊
  1. FPGA_multiplier

    0下载:
  2. 本源码是用verilog语言编写的FPGA乘法器,可以输入两个8位数据,出输16位结果。-The source code is written in verilog FPGA multiplier, you can enter two 8-bit data, the output 16 results.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:黄华
  1. multiply_8_VHDL

    0下载:
  2. 由8 位加法器构成的以时序方式设计的8 位乘法器,采用逐项移位相加的方 法来实现相乘的VHDL程序代码。包含几个小模块和一个顶层设计文件,运行可用。-an 8 bit multiplier combined with 8 bit adder using a design by way of timing,and it use a way of Itemized shift to implement the multiply.It i
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:
  1. mult

    0下载:
  2. 一个4位二进制数乘法器,基于vhdl实现的,8位输出二进制-4 binary multiplier implemented based vhdl
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-20
    • 文件大小:363520
    • 提供者:王文文
  1. cmp42

    0下载:
  2. 用于乘法器设计,8位Booth译码乘法器,4-2压缩结构,加速乘法运算速度-Used for the design of multiplier, 8 Booth decoding multiplier, 4-2 compressed structure, accelerate the multiplication rate
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2983936
    • 提供者:成栋
  1. FIR

    0下载:
  2. 采用加法树设计8位乘法器,具有流水线结构7阶FIR滤波器,输入序列信号字长4位表示,并且是无符号数。(An adder tree is used to design the 8 bit multiplier, which has a pipelined 7 order FIR filter. The input sequence signal is 4 bits, and it is an unsigned number.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:mofeizq
« 1 2 34 »

源码中国 www.ymcn.org