搜索资源列表

  1. DPLL

    1下载:
  2. 数字锁相环DPLL实例程序,帮助理解PLL的结构和详细原理-DPLL DPLL examples of procedures to help understand the structure and PLL detailed Principle
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:986
    • 提供者:李向坤
  1. dpll_4

    0下载:
  2. 实现4阶数字锁相环,老外写的,有详细注释,如果您觉得不错,就re一下-achieve four bands DPLL, a foreigner writing a detailed notes, if you think it's good, what re
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:1981
    • 提供者:liu
  1. 2005117163755

    0下载:
  2. MPSK解调的关键在于载波同步和码元同步.这里采用 数字锁相环实现载波同步和码元同步。-MPSK demodulator is the key carrier synchronization and code synchronization. Here digital PLL carrier synchronization and code synchronization.
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:614191
    • 提供者:牛亮
  1. verilogpll

    0下载:
  2. 用verilog语言编写的全数字锁相环的源代码,基于fpga平台-using Verilog language prepared by the DPLL the source code, they simply based on the platform
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3821
    • 提供者:letheo
  1. PLLprogram

    0下载:
  2. 数字锁相环程序,适合于FM、AM开发 数字锁相环程序,适合于FM、AM开发-DPLL procedures for FM, AM Development DPLL procedures for FM, AM Development
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:31029
    • 提供者:whuasan
  1. PLLpro

    0下载:
  2. 关于数字锁相环的使用,结合FM,AM的使用来说明-DPLL on the use of combined FM and AM to illustrate the use of
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:10670
    • 提供者:whuayan
  1. 010919.pdf

    0下载:
  2. 全数字锁相环VHDL描述并实现功能仿真,另附有图形说明-DPLL VHDL descr iption and achieve functional simulation, followed by graphic shows
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:287252
    • 提供者:巢海步
  1. DDScom

    1下载:
  2. 直接式数字锁相环频率合成器.用ELANIX公司SYSTEMVIEW运行.-direct digital PLL frequency synthesizer. SYSTEMVIEW ELANIX companies with operations.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1714
    • 提供者:a
  1. pll_improvement

    0下载:
  2. 一种改进的全数字锁相环设计 一种改进的全数字锁相环设计-an improved DPLL design an improved design DPLL
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:102669
    • 提供者:李敏
  1. VHDLDPLL

    0下载:
  2. 比较好的技术文章《基于VHDL的全数字锁相环的设计》有关键部分的源代码。-relatively good technical article, "based on VHDL DPLL the design" a key part of the source code.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:168107
    • 提供者:李湘鲁
  1. zicaiyang

    0下载:
  2. 技术文章《自采样比例积分控制全数字锁相环的性能分析和实现》有一定参考价值-technical article, "Since sampling proportional integral control DPLL performance analysis and achieve" a certain reference value
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:230052
    • 提供者:李湘鲁
  1. 006

    0下载:
  2. 基于FPGA实现的一种新型数字锁相环-Based on the FPGA realization of a new digital PLL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:181248
    • 提供者:hehe520
  1. newDPLLdesign

    0下载:
  2. 使用VHDL语言进行数字锁相环的设计,pdf格式,可以打开-The use of VHDL language design of digital phase-locked loop, pdf format, you can open
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:557056
    • 提供者:国家
  1. pll

    0下载:
  2. 实现同步时采用锁相环,锁相环实现的原理,及源代码,-Implementation of the principle of phase-locked loop, and the source code,
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-19
    • 文件大小:111616
    • 提供者:qin
  1. shuzisuoxiang

    0下载:
  2. 数字锁相环(DPLL)技术在数字通信、无线电电子学等众多领域得到了极为广泛的应用。与传统的模拟电路实现的PLL相比,DPLL具有精度高、不受温度和电压影响、环路带宽和中心频率编程可调、易于构建高阶锁相环等优点。-Digital phase-locked loop (DPLL) technology in digital communications, radio electronics, and many other fields ha
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:hellen
  1. PLL

    0下载:
  2. 锁相环问题的仿真,可以解决数字锁相环的仿真问题-Phase-locked loop simulation problem, can solve the problem of digital phase-locked loop simulation
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:wangxinyi
  1. PLL_grt_rtw

    0下载:
  2. C语言实现了数字锁相环的程序,不过程序比较复杂,得参照MATLAB中 Discrete 3-phase pll模型-C language implementation of the DPLL procedure, but more complicated procedures, may refer to MATLAB, Discrete 3-phase pll model
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:24576
    • 提供者:蔡科
  1. 84f704a6df6c

    0下载:
  2. 介绍数字锁相环的基本结构,详细分析基于FPGA的数字锁相环的鉴相器、环路滤波器、压控振荡器各部分的实现方法,并给出整个数字锁相环的实现原理图。仿真结果表明,分析合理,设计正确。-MC145159 PLL frequency synthesizer design and realization of PLL frequency synthesizer the basic principles of integrated PLL chip
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:192512
    • 提供者:KID-hang
  1. dpll

    1下载:
  2. 基于Verilog的数字锁相环。包括三个模块,数字鉴相器DPD、数字环路滤波器DLF、数控振荡器 DCO三部分构成-Verilog-based digital PLL. Consists of three modules, the digital phase detector DPD, digital loop filter DLF, digitally controlled oscillator DCO three parts
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:668672
    • 提供者:栾帅
  1. dpll

    0下载:
  2. 数字全锁相环的介绍文章,讲述了数字锁相环的实现原理和实现步骤(The introduction of the digital full phase locked loop is introduced, and the realization principle and the implementation steps of the digital phase locked loop are described)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:192512
    • 提供者:CrazyICer
« 1 2 3 4 5 67 8 9 10 11 ... 25 »

源码中国 www.ymcn.org