搜索资源列表

  1. zicaiyang

    0下载:
  2. 技术文章《自采样比例积分控制全数字锁相环的性能分析和实现》有一定参考价值-technical article, "Since sampling proportional integral control DPLL performance analysis and achieve" a certain reference value
  3. 所属分类:文档资料

    • 发布日期:2024-05-22
    • 文件大小:229376
    • 提供者:李湘鲁
  1. verilogpll1234

    0下载:
  2. 基于verilog的全数字锁相环的设计,基于verilog的全数字锁相环的设计。-verilog DPLL the design, verilog based on the DPLL design.
  3. 所属分类:波变换

    • 发布日期:2024-05-22
    • 文件大小:93184
    • 提供者:li
  1. dpll0226

    0下载:
  2. 用一片CPLD实现数字锁相环,用VHDL或V语言.-with a DPLL CPLD, VHDL or V language.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:184320
    • 提供者:sss
  1. DPLL0227+V+qt6

    0下载:
  2. 用一片CPLD实现数字锁相环,用VHDL或V语言.-with a DPLL CPLD, VHDL or V language.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:278528
    • 提供者:sss
  1. pll1218

    0下载:
  2. 用一片CPLD实现数字锁相环,用VHDL或V语言.-with a DPLL CPLD, VHDL or V language.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:108544
    • 提供者:sss
  1. digital_loopback

    0下载:
  2. 基于ti公司6713dsp的数字锁相环,运行环境为ccs3.1。希望有所帮助。-ti-based company 6713dsp the DPLL, the operating environment for ccs3.1. Want some help.
  3. 所属分类:DSP编程

    • 发布日期:2024-05-22
    • 文件大小:126976
    • 提供者:水歌
  1. 060107[1].pdf

    0下载:
  2. 全数字锁相环,包括DPD,DLF,DCO.-DPLL, including the DPD, DLF, the making.
  3. 所属分类:电子书籍

    • 发布日期:2024-05-22
    • 文件大小:89088
    • 提供者:熊静
  1. all_digital_phase_locked_loop

    0下载:
  2. 一篇关于数字锁相环的很好的文章,费了很大力气才搞到的-a DPLL on the good paper, and a great effort will involve the
  3. 所属分类:编程文档

    • 发布日期:2024-05-22
    • 文件大小:248832
    • 提供者:刘小同
  1. changyongmokuai

    0下载:
  2. 智能全数字锁相环的设计用VHDL语言在CPLD上实现串行通信-DPLL intelligent design using VHDL on the CPLD Serial Communication
  3. 所属分类:电子书籍

    • 发布日期:2024-05-22
    • 文件大小:793600
    • 提供者:1
  1. 200761311574149479

    0下载:
  2. 介绍了如何使用数字锁相环,如何用VHDL实现数字锁相环-on how to use the DPLL, how to use VHDL DPLL
  3. 所属分类:软件工程

    • 发布日期:2024-05-22
    • 文件大小:62464
    • 提供者:zhaojia
  1. DPLL

    0下载:
  2. 介绍了一宽带的数字锁相环的实现方法,欢迎大家踊跃下载 -Introduction of a broadband digital phase-locked loop method, enthusiastically welcomed the U.S. Download
  3. 所属分类:软件工程

    • 发布日期:2024-05-22
    • 文件大小:1110016
    • 提供者:皱接
  1. code

    0下载:
  2. 数字锁相环的源代码。用硬件编程语言VHDL编写。-Digital phase-locked loop
  3. 所属分类:中间件编程

    • 发布日期:2024-05-22
    • 文件大小:2048
    • 提供者:zaoyexu
  1. ShuZiSuoXiangHuan

    0下载:
  2. 数字锁相环数学模型,对有研究信号调制的数学建模.-Digital phase-locked loop mathematical model of research has the mathematical modeling of signal modulation.
  3. 所属分类:软件工程

    • 发布日期:2024-05-22
    • 文件大小:132096
    • 提供者:杨文博
  1. DigitalPLL

    0下载:
  2. 一篇简单易懂的关于数字锁相环概念原理设计的经典文章-An easy-to-read digital phase-locked loop on the concept of the classic principles of design article
  3. 所属分类:文件格式

    • 发布日期:2024-05-22
    • 文件大小:248832
    • 提供者:林晓叶
  1. testbench

    0下载:
  2. 一个自己编写的全数字锁相环及其测试向量,比较简单但功能基本达到。-I have written an all-digital phase-locked loop and its test vectors, relatively simple to achieve but the basic function.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-22
    • 文件大小:30720
    • 提供者:liujl
  1. sch02

    0下载:
  2. 下了点关于数字锁相环的东东,下了点关于数字锁相环的东东.-A point on the digital phase-locked loop of Dongdong, a point on the digital phase-locked loop of Higashi.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-22
    • 文件大小:429056
    • 提供者:fanhk
  1. digitalPLL

    0下载:
  2. 数字锁相环实现源码,有很大的参考价值。 由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成.-DPLL realize source, has a great reference value. By the phase detector counter modulus K addition and subtraction circuit synchronous pulse addition and sub
  3. 所属分类:RFID编程

    • 发布日期:2024-05-22
    • 文件大小:2048
    • 提供者:sharny
  1. dpll

    1下载:
  2. 数字锁相环,采用costas环的数字形式,实现跟踪载波相位,-Digital phase-locked loop, using the digital form costas loop to achieve carrier phase tracking,
  3. 所属分类:行业应用软件

    • 发布日期:2024-05-22
    • 文件大小:1024
    • 提供者:lily
  1. dpll_demo

    0下载:
  2. 一个实现简单的数字锁相环Verilog代码,本人借鉴网上现有的代码后经修改在Cyclone II上调通实现,里面有ModelSim仿真成功的波形图-A simple digital PLL Verilog code, I draw on-line after the existing code, as amended, pass upward in the Cyclone II realized, there are successfu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:67584
    • 提供者:
  1. DPLL_Circuit

    0下载:
  2. 本文在说明全数字锁相环的基础上,提出了一种利用FPGA设计一阶全数字锁相环的方法,并 给出了关键部件的RTL可综合代码,并结合本设计的一些仿真波形详细描述了数字锁相环的工作过程,最后对一些有关的问题进行了讨论。-In this paper, that all-digital phase-locked loop based on a FPGA design using first-order DPLL method, and give
  3. 所属分类:软件工程

    • 发布日期:2024-05-22
    • 文件大小:286720
    • 提供者:wangyunshann
« 1 23 4 5 6 7 8 9 10 ... 16 »

源码中国 www.ymcn.org