搜索资源列表

  1. VHDL范例

    0下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. 几个汇编代码code

    0下载:
  2. fx.asm 反序输出 cpc.asm 人机对话 DECHEX.ASM 十进制到十六进制转换 PX.ASN 排序 DISP.ASM 显示非组合BCD码 COUNTA.ASM 统计字符A的个数 GRA.ASM 格雷码 TADD.ASM 加法运算 TMUL.ASM 乘法运算-fx.asm sequence output cpc.asm anti-human-machine dialogue DECHE
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:17984
    • 提供者:cdl
  1. graycode1

    0下载:
  2. 格雷码的代码,能选择格雷码的个数,用VB写的,界面良好-Gray code code code Gray can choose the number, written in VB, good interface
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:55010
    • 提供者:skyw
  1. GeLei_Split

    0下载:
  2. 输出一个正整数的所有格雷码序列及一正整数的所有升序拆分序列-output a positive integer Gray all sequences and a positive integer of all ascending sequence Resolution
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:50097
    • 提供者:涂世昌
  1. vHDLgeleima

    0下载:
  2. 格雷码转自然码的VHDL实现,代码是我经过仿真以后可以用的。-natural Gray code to the VHDL code, the code is after I read the simulation can be used.
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:2723
    • 提供者:li
  1. ASS58N

    0下载:
  2. 把格雷码转换成十六进制的C语言程序,用来读取编码器的值-Gray code put into hexadecimal C Programming Language, used to read the value encoder
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1246
    • 提供者:际云
  1. Gray_Translator

    0下载:
  2. Java写的一个能在二进制,八进制,十进制,十六进制格雷码之间自由转化的工具,学习数字电路的同学可能会喜欢。-write a Java in binary, octal, decimal, Gray hexadecimal code freely into the tools, learning digital circuit students might like.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:18499
    • 提供者:徐阳
  1. Bintograyconverter

    0下载:
  2. 二进制到格雷码转换ASD ASD ASD-binary Gray code conversion to ASD ASD ASD ASD ASD
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2730
    • 提供者:徐登伟
  1. Gray

    0下载:
  2. 用分治发实现输出格雷码的源代码 ,对学习算法的人很用帮助
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:ren
  1. gray_cnt

    0下载:
  2. 一个格雷码计数器,利用Verilog语言实现,一个初学者的好例子。-A Gray-code counters, the use of Verilog language, a good example for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:speed
  1. golay24

    0下载:
  2. 对格雷码(24,12,8)的一个译码算法-An arithmetic decoder for the (24,12,8) Golay code.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:雪林
  1. fifo

    0下载:
  2. 格雷码对地址编码的异步FIFO的实现方法-Gray code encoding to address the realization of the asynchronous FIFO method
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:hj
  1. graycnt_14

    0下载:
  2. 14位格雷码计数器的verilog描述及仿真波形-14-bit Gray code counter verilog descr iption and simulation waveforms
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:李慧静
  1. geleima

    0下载:
  2. 算法设计中格雷码的递归过程,并进行输出结果-Gray code recursively to create, and make the output
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-21
    • 文件大小:359424
    • 提供者:yutou
  1. GrayCnt

    0下载:
  2. 格雷码计数器 VerilogHDL语言编写-Gray-code counter using VerilogHDL language
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:8192
    • 提供者:zy
  1. 16QAM_Bit_Error_Rate_(BER)_with_Gray_mapping

    0下载:
  2. 仿真分析在格雷码和QAM调制下的SNR与BER关系-analyze gray code and QAM moudulation about SNR and BER relationship
  3. 所属分类:matlab例程

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:zhanguangshan
  1. tentotwo

    0下载:
  2. 十进制与二进制格雷码的转换——智能计算大作业-Gray code and binary decimal conversion- great job on Intelligent Computing
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-21
    • 文件大小:34816
    • 提供者:亢海豚
  1. a1401

    0下载:
  2. 分治法将数字实现格雷码转换,运用分治策略。(The method will achieve the conversion of digital gray code, using divide and conquer strategy.)
  3. 所属分类:文档资料

    • 发布日期:2024-05-21
    • 文件大小:1133568
    • 提供者:为了
  1. n

    0下载:
  2. 递归,生成n位格雷码,用尽可能短的代码实现在控制台输出。(Gray code to generate n)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:89088
    • 提供者:Re_luna
  1. gray_counter

    0下载:
  2. 格雷码计数器实质包含了三个部分 格雷码转二进制、加法器、二进制转格雷码。通过quartus II 自带的Modlesim仿真验证了 能够实现二进制和格雷码之间的转换(Gray counter essence contains three parts, gray code to binary adder, binary gray code conversion. Modlesim simulation by quartus with II
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:2977792
    • 提供者:hay_123
« 1 23 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org