搜索资源列表

  1. boxingxinhaofashengqi

    0下载:
  2. 主要设计制作一个波形发生器,该波形发生器能产生正弦波、方波、三角波和由用户编辑的 特定形状波形 -Main design to produce a waveform generator, the waveform generator can produce sine, square, triangle wave and by the user to edit the specific shape of waveform
  3. 所属分类:软件工程

    • 发布日期:2024-05-09
    • 文件大小:16384
    • 提供者:程文冠
  1. test_DAC0832

    0下载:
  2. 项目描述:产生正弦波,方波,三角波的能力.可存储多种波形,输出波形频率100Hz--200kHz,-Project Descr iption: have a sine wave, square wave, triangle wave capacity. Can store a variety of waveforms, the output waveform frequency 100Hz- 200kHz,
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:68608
    • 提供者:yagerya
  1. wave

    0下载:
  2. 正弦波,方波,三角波,简单易懂。 -Sine wave, square wave, triangle wave, easy-to-read.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-09
    • 文件大小:2825216
    • 提供者:solo
  1. 12864

    0下载:
  2. 单片机控制的AD对正弦波信号采样后用12864的液晶显示出来-Single-chip microcomputer controlled sine wave signal AD to 12864 after sampling the liquid crystal display out
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:23552
    • 提供者:李芳
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:675840
    • 提供者:zzwuyu
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:16384
    • 提供者:李仁刚
  1. 29782184

    0下载:
  2. 函数信号发生器 本系统能够产生正弦波、方波、三角波。同时还可以作为频率计测频率。函数信号的产生由MAX038和外围电路完成,能产生1Hz—20MHz的波形。波形选择由单片机完成。输出或输入频率经74HC390分频后,由单片机完成自动频率检测显示。 关键词:波形产生器、频率计、MAX038、74HC390、AT89S51。 -Function signal generator of the system can produce s
  3. 所属分类:汇编语言

    • 发布日期:2024-05-09
    • 文件大小:95232
    • 提供者:张一
  1. SignalGenerate

    0下载:
  2. 本程序用C++,正弦波声音信息发生器VC源代码-This procedure using C++, sine wave generator VC voice message source code
  3. 所属分类:多媒体编程

    • 发布日期:2024-05-09
    • 文件大小:40960
    • 提供者:hd9898
  1. DAC0832SinProteusC51

    0下载:
  2. DAC0832产生正弦波,C51下的Proteus仿真及C源程序,非常适合初学单片机的新手们学习-DAC0832 generated sine wave, C51 under the Proteus simulation and C source, very suitable for the novice beginner to learn Singlechip
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:37888
    • 提供者:mcuxxq
  1. daout-Sine-wave

    0下载:
  2. 正弦波的vhdl输出,使用VHDL编写的,已经通过调试-Sine wave output of the VHDL, the use of VHDL prepared already through debugging
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:585728
    • 提供者:zhang
  1. sin

    0下载:
  2. 用Verilog语言在FPGA内实现一256个采样点的正弦波,已尝试,挺好用的-Verilog language used in the FPGA to achieve one of the 256 sampling points sine wave, I have already tried it, very useful~ ~ ~
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:104448
    • 提供者:
  1. wavecreatemachine

    0下载:
  2. 本系统采用51单片机和DAC0832,在数码管上显示频率值。可以产生方波,正弦波,三角波,锯齿波,可通过按键选择,频率可调。-The system uses a single-chip microcomputer 51 and DAC0832, digital tube display at the frequency value. Could have a square wave, sine wave, triangle wave,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:50176
    • 提供者:付华东
  1. dsp-sin

    0下载:
  2. 汇编语言产生的查表法实现正弦波发生器的程序,需要的下载。-Assembly language generated by sine wave generator look-up table method implementation procedures, the required download.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:杨树涛
  1. DA

    0下载:
  2. TMS320LF2407键盘与DA转换综合控制源码 键盘0,1,2,3分别使得DA转换产生方波,三角波,正弦波,锯齿波。-TMS320LF2407 keyboard with integrated control DA converters 0,1,2,3-source keyboard makes DA conversion separately generated square wave, triangle wave, sine
  3. 所属分类:DSP编程

    • 发布日期:2024-05-09
    • 文件大小:6144
    • 提供者:baoyu
  1. resizeofapp

    0下载:
  2. 用VC++做的画图软件,可以用来学习如何画图,有正弦波,三角波,方波例子-VC++ to do with the drawing software, can be used to learn how to draw, there is sine wave, triangle wave, square wave example
  3. 所属分类:Windows编程

    • 发布日期:2024-05-09
    • 文件大小:128000
    • 提供者:Joy
  1. example10

    0下载:
  2. :正弦波发生器例程,包括了直接数字频率合成(DDS)的原理以及如何应用CPLD产生频率可控频率的正弦信号。-: Sine wave generator routine, including a direct digital synthesizer (DDS), as well as the application of the principle of frequency control CPLD generated sinusoida
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:57344
    • 提供者:周平
  1. wave

    0下载:
  2. 本程序采用的VHDL语言,分别实现:递增锯齿波递减锯齿波 三角波 阶梯波 方波正弦波 数据选择器.-This procedure used in VHDL language, respectively, to achieve: increased sawtooth ladder descending sawtooth wave square wave triangle wave sine wave data selector.
  3. 所属分类:绘图程序

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:程冬水
  1. experiment_7

    0下载:
  2. 基于ROM的正弦波发生器的设计:使用MATLAB得到这64个波形数据,将这些存数据写入一个ROM中。再输入时钟,每个上升沿依次读取一个波形数据-ROM-based sine wave generator of the design: the use of MATLAB to obtain waveform data 64, to write the data in a ROM. Re-enter the clock, each risi
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:101376
    • 提供者:evelyn
  1. DAC0832

    0下载:
  2. 基于DAC0832的简单波形发生器,可以产生方波,锯齿波,三角波,正弦波,并可以通过键盘进行切换。-Based on the simple DAC0832 and can produce pulse waveform generator, sawtooth wave, triangle, sine wave, and can through the keyboard.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:19456
    • 提供者:陈辉
  1. matlabhuizhigezhongxinhaoboxing

    0下载:
  2. matlab绘制各种周期信号如正弦波等常用的信号,下下看吧吧吧。-matlab draw a variety of periodic signals such as sine wave signal, such as commonly used, under the under the bar and see it.
  3. 所属分类:matlab例程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:lei
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

源码中国 www.ymcn.org