搜索资源列表

  1. PWM

    0下载:
  2. 使用PWM方式来产生一个1KHz左右的正弦波,幅度为0-Vcc/2-The use of PWM mode to generate a 1KHz sine wave around, ranging from 0-Vcc/2
  3. 所属分类:软件工程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:张林
  1. sin

    0下载:
  2. 基于Quartus II 5.0编写的正弦波发生器,可控频率,用vhdl编写的-Quartus II 5.0 on the preparation of the sine wave generator, controllable frequency, prepared using VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:475136
    • 提供者:uuk
  1. sinWave_generator_HDL_code

    0下载:
  2. 一个用硬件描述语言编写的正弦波发生器的源代码程序
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:李建刚
  1. wavedflag

    0下载:
  2. 飘动的红旗,对给定的图片或自己的图片(其尺寸一定要符合OPENGL纹理的要求)实现正弦波运动效果;图片在波动过程中正面显示为网格效果也就是由线条组成,反面显示为完全填充效果。 -The red flag fluttering on a given picture or your own picture (its size must be in line with the requirements of OPENGL Texture)
  3. 所属分类:图形图象

    • 发布日期:2024-05-09
    • 文件大小:12288
    • 提供者:cx
  1. chengxu3

    0下载:
  2. 用Matlab产生正弦波,矩形波,以及白噪声信号,并显示各自时域波形图 2.进行FFT变换,显示各自频谱图,其中采样率,频率、数据长度自选 3.做出上述三种信号的均方根图谱,功率图谱,以及对数均方根图谱 4.用IFFT傅立叶反变换恢复信号,并显示恢复的正弦信号时域波形图 -Using Matlab generated sine wave, rectangular wave, as well as the white no
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:river
  1. zhengxianbo

    0下载:
  2. 用来绘制正弦波的小例子,希望对初学者有用-Sine wave used to draw a small example, I hope useful for beginners
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:29696
    • 提供者:leijing
  1. 0522

    0下载:
  2. 自己今年的毕业设计DDS波形发生器,有正弦波,方波,三角波,锯齿波.-Their own design this year
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1375232
    • 提供者:dawn
  1. sin

    0下载:
  2. 单片机产生正弦波,频率可调,很好的资料啊!-Single-chip generated sine wave, frequency adjustable, very good information ah!
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:245760
    • 提供者:mermaid
  1. sinwave

    0下载:
  2. 正弦波信号发生的源码,有详细文档说明在quartus上创建工程到仿真、下载的步步操作-Sine wave signal source, has detailed documents created in the Quartus simulation works, download the step-by-step operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2471936
    • 提供者:benyue
  1. single

    0下载:
  2. verilog 我自己写得按单脉冲发生器,通过了综合和仿真,和频率可变的正弦波发生器,-verilog I write by a single pulse generator, through the synthesis and simulation, and variable frequency sine wave generator,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:潘见
  1. C51

    0下载:
  2. 单片机P1口与4个按键连接,用于信号幅度和频率调节操作键。单片机P0、P2.7、P3.6(-WR)与DA0832、2个741(运放)连接构成波形信号输出电路。 编程使波形信号输出电路输出正弦波信号,并且可通过操作键调节信号的幅度和频率。幅度和频率调节级别从0~10,共11个等级。 -Singlechip P1 I connect with the four buttons for the signal amplitude and
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:张理
  1. dds

    0下载:
  2. 利用fpga实现的DDS,可输出正弦波,输出频率可调-FPGA realization of the use of DDS, sine wave output, output frequency adjustable
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:468992
    • 提供者:qlg
  1. sin

    0下载:
  2. 基于fpga的正弦波发生器设计,有一定的参考价值,写的比较详细-The sine wave generator based on FPGA design, have a certain reference value, a more detailed written
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:632832
    • 提供者:qlg
  1. singt

    0下载:
  2. 实现了方波、正弦波、三角波的输出,同时在LCD模块中用状态机的方法实现LCD的对应显示:当输出正弦波,LCD显示“SIN”;当输出方波,LCD显示“REC”;当输出三角波,显示“TRI”;复位和其它位置波形显示“UNI”。-Realize a square wave, sine wave, triangle wave output, while in the LCD module using the state machine app
  3. 所属分类:波变换

    • 发布日期:2024-05-09
    • 文件大小:1062912
    • 提供者:Emma
  1. LCD

    0下载:
  2. 可以驱动240128的大液晶,有数字,汉字,英文字符,画线,作正弦波图的功能。-Can drive large LCD 240,128, has the number of Chinese characters, English characters, painting lines, for the sine wave function graph.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:79872
    • 提供者:邓玉龙
  1. xinhaoyuan

    0下载:
  2. 利用51系列单片机实现四种波形,正弦波、方拨、阶梯波、三角波,采用开关电路实现四种波形转换、放大电路实现波形幅度可调。通过编程实现仿真。-51 Series MCU realize the use of four types of waveforms, sine, square dial, ladder wave, triangle wave, the use of switching circuits to achieve the
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:20480
    • 提供者:best313
  1. FSK

    0下载:
  2. FSK调制,采用直接查表法,生成一个周期的正弦波-FSK modulation, the use of direct look-up table method, to generate a sine wave cycle
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-09
    • 文件大小:43008
    • 提供者:陈鼎
  1. DDS

    0下载:
  2. 用8051控制DDS信号发生器,产生1HZ-10MHz的正弦波/三角波/方波-DDS with 8051 control signal generator, producing the 1HZ-10MHz sine/triangle/square wave
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:43008
    • 提供者:徐小平
  1. xinhaofashengqi

    0下载:
  2. 简易信号发生器,可产生正弦波、方波、三角波,幅度、频率都可调节。-Simple signal generator can produce sine, square, triangle wave, amplitude, frequency adjustment can be.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:242688
    • 提供者:苏维
  1. sin_c_program

    0下载:
  2. 简易正弦波\三角波\方波发生器 使用keil C51,12MHZ晶振,AT89C2051 -Simple sine wave square wave triangle wave generator using keil C51, 12MHZ crystal, AT89C2051
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:15360
    • 提供者:xian
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »

源码中国 www.ymcn.org