搜索资源列表

  1. MOD1

    0下载:
  2. 51单片机电子日历 电子日历,有时间显示、闹铃、日期、秒表及键盘设置功能 功能键A: 设置位数字+1 闹钟模式下为闹钟开关 秒表模式下为记时开关 功能键B: 设置位数字-1 闹钟模式下为闹钟开关 功能键C:设置模式及设置位选择 秒表模式下为清零键 功能键D:在四种工作模式下切换 设置闹钟开关-51 Single-chip electronic calendar electronic calendar, t
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:4096
    • 提供者:晓风
  1. SIJTQ6tQ

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 一、 功能说明 已完成功能 1. 完成秒/分/时的依次
  3. 所属分类:压缩解压

    • 发布日期:2024-05-18
    • 文件大小:677888
    • 提供者:luoliang
  1. clock

    0下载:
  2. 基于vhdl的数字钟 有闹钟,秒表,时钟,日期等功能 秒表可以开始,暂停,清零, 时钟可以设置时间, 还可以设置日期-VHDL based on the digital clock has an alarm clock, stopwatch, clock, date, stopwatch functions can start, pause, cleared, the clock can be set-up times,
  3. 所属分类:软件工程

    • 发布日期:2024-05-18
    • 文件大小:3072
    • 提供者:张廷
  1. count

    0下载:
  2. 模可变计数器,可实现模2模8模10模16,异步清零,模可变加减计数-Variable modulus counter, can be realized mode 2 mode 8-mode 10 mode 16, Asynchronous Clear, variable modulus counting addition and subtraction
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:203776
    • 提供者:郭明
  1. shift

    0下载:
  2. 移位寄存器,异步清零,异步置数,左移右移可控,具有循环移位功能-Shift Register, Asynchronous Clear, asynchronous purchase the number of controllable left shifted to right with a cyclic shift function
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:197632
    • 提供者:郭明
  1. C2

    0下载:
  2. 功能更加完善的基于vhdl的数字时钟设计 有秒表,时钟,时期,闹钟的功能和整点报时,时间调整,日期调整,闹钟的设定 、、、、、、、 秒表有开始,暂停,清零等功能,且只有在暂停的情况下才能清零。-Function more complete VHDL-based design of the digital clock stopwatch, clock, time, alarm clock function and the wh
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:817152
    • 提供者:张廷
  1. clock

    0下载:
  2. 利用xilin实验仪完成一个可以计时的数字时钟,显示范围位00.00~59.59,且该时钟应该具有暂停计时,清零灯功能。-Xilin completed experiment using a digital time clock, indicating the scope of digital 00.00 ~ 59.59, and the clock should have suspended time, Clear Lantern f
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:80896
    • 提供者:haolj
  1. dds_8bit

    0下载:
  2. rom地址宽度8位,256个正弦波数据。频率控制字可以步进,具有清零功能。-rom address the width of 8, 256 sine wave data. Frequency control word can step has cleared function.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:352256
    • 提供者:eroad
  1. VHDLdesign

    1下载:
  2. EDA课程设计,包含源码和文档说明,实现秒表计数和闹钟功能,使用VHDL语言编写 已完成功能 1. 完成时/分/秒的依次显示并正确计数,利用六位数码管显示; 2. 时/分/秒各段个位满10正确进位,秒/分能做到满60向前进位,有系统时间清零功能; 3. 定时器:实现整点报时,通过扬声器发出高低报时声音; 4. 时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整; 5. 闹钟:实现分
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:18432
    • 提供者:liuxin
  1. dianzimiaobiao

    0下载:
  2. 设计一个简单的电子秒表(按一下钮启动,再按一个停止,再按一下清零,周而复始。)最小计时单位0.01S,即可显示XX.XX(秒、百分之一秒)。-Design a simple electronic stopwatch (click Start button, and then a stop, and then click Reset again and again.) Minimum time unit 0.01S, to display
  3. 所属分类:汇编语言

    • 发布日期:2024-05-18
    • 文件大小:18432
    • 提供者:dai
  1. CNT4B

    0下载:
  2. 基于VHDL的4位带异步清零的二进制计数器。-VHDL-based band of four asynchronous binary counter cleared.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:168960
    • 提供者:luoqianyou
  1. nl

    0下载:
  2. 闹钟可在定点时刻响铃,具有清零功能,在整点报时,在6点到22报时,在23点到5点不报时-Alarm clock ringing in the fixed time, with zero function, the whole point in time, 6:00 to 22 at the time, in the 23:00 to 5:00 is not the time
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:130048
    • 提供者:
  1. secondclock

    0下载:
  2. 电子秒表要实现的功能:用键盘中断来控制整个程序,按一下回车键启动电子秒表,再按一下暂停,按一下ESC键清零,用七段数码管显示时间。整个程序涉及到8255、8253与8259三个芯片。8253的OUT2,CLK2分别连接8259的IRQ7与PCLK,8253的GATE2连接正5伏电压,采用计数器2每隔0.01秒产生一次中断并且计数,写入以偏移地址4000H开始的4个内存单元,然后利用8255将内存单元的数据输出到七段数码管-err
  3. 所属分类:文档资料

    • 发布日期:2024-05-18
    • 文件大小:18432
    • 提供者:fff
  1. 111

    0下载:
  2. 基于VHDL的电子钟,具有清零和重置功能,在一个程序中实现所有功能-VHDL-based electronic bell, with cleared and reset, in a process of realization of all functions
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:李遥
  1. PDIUSBD12_program_manual_chinese

    0下载:
  2. PDIUSBD12 是一款带有并行总线和局部DMA传输能力的高速USB 接口器件固件设计的目标就是 使PDIUSBD12 在USB 上达到最大的传输速率外围设备例如打印机扫描仪外部的海量存储器和数码 相机都可使用PDIUSBD12 在USB 上传输数据这些设备的CPU 要忙于处理许多设备控制和数据以及图像 处理等任务PDIUSBD12 的固件设计成完全的中断驱动当CPU 处理前台任务时USB 的传输可在后台 进行这就确保
  3. 所属分类:USB编程

    • 发布日期:2024-05-18
    • 文件大小:571392
    • 提供者:tom
  1. 20054863

    0下载:
  2. 设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是So、S1、S2、S3、S4、S5、S6、S7。 ② 给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ③ 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂
  3. 所属分类:汇编语言

    • 发布日期:2024-05-18
    • 文件大小:4096
    • 提供者:yuanyuan
  1. VHDL-XILINX-EXAMPLE26

    0下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC08
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:3687424
    • 提供者:hawd
  1. 050-1602LCD

    0下载:
  2. K3:--- P1.6 K4:--- P1.7 BEEP:--- P3.7 K3 --- 控制按键 K4 --- 清零按键 开机显示: SECOND-CLOCK 0 TIME 00:00:00:00 K3 --- 控制按键: 第一次按下时,开始计时。 显示 BEGIN COUNT 1 TIME 00:00:01:88 第二次按下时,暂停计
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:18432
    • 提供者:jimmy
  1. printcounter

    0下载:
  2. 1)能够对计数脉冲(用开关模拟)进行计数 2)能够进行6位数码显示,显示当前的印张数 3)能够进行印数的预置,能够进行正/反计数 4)当计数达到计数预置值或计数为零时,能够报警(LED 发光) 附加功能:报警时可以用喇叭鸣响,并用一个键控制其停止 。 操作说明: 开启电源后,按数字键按预设的印刷数量渐入计数值,按“正计或反计键”选择正向或者方向计数,按下“确定”键,系统则开始自动进行技术操作,但计数结束时,红色警示灯开始亮
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:8192
    • 提供者:yuey996
  1. minusself23to0

    0下载:
  2. verilog描述 23:59:59-00:00:00自减计时器 按set键,进入设置,依次是反向计时,小时,分钟,秒设置,然后有进入反向计时, 在方向计时状态,按timmer键,进入计时,在计时状态,按timmer可以暂停和计时切换, 暂停状态,按ADJ,直接清零,设置状态按timmer键或是60秒无外部输入信号,退出设置状态-Verilog descr iption 23:59:59-00:00:00 sinc
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:申刚
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 46 »

源码中国 www.ymcn.org